THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP.

Size: px
Start display at page:

Download "THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP."

Transcription

1 THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. P A D S W H I T E P A P E R w w w. p a d s. c o m

2 INTRODUCTION Designing high-speed electronic products brings many challenges. High-speed busses such as PCI-Express, DDRx, and Serial ATA run at frequencies from several hundred megahertz to more than a gigahertz, making for tight timing margins. Fine-geometry silicon generates fast edge rates. And growing pressure for smaller and cheaper products leads to very dense PCB layouts. To implement a successful high-speed PCB design, all these factors must be taken into consideration. There are three main areas of concern when creating electronic products with high-speed design constraints: signal quality, timing, and crosstalk. Signal quality includes items like overshoot, ringback, and non-monotonicities that can damage a receiver or introduce data errors. Timing, including effects of terminations, receiver loading, and trace impedances and lengths, must be rigorously analyzed at the PCB level to ensure compliance at the system level. Crosstalk, which is unwanted noise induced by one trace onto another, can affect both signal quality and timing. By analyzing these areas you can improve product reliability and quality by testing what-if scenarios, generating routing constraints, and validating them in layout to ensure your electrical requirements are met. Through analysis, you can also drive sensible decisions about trace lengths, topology, spacing, and part placement, and constrain items such as board stackup, trace widths, and copper weights. SIGNAL QUALITY Digital logic reduces data to a series of 1s and 0s, which are represented in a real system by high and low voltages. In order for a receiver to determine whether or not a voltage represents a 1 or a 0 that voltage must be above or below the logic thresholds of the receiver. Also, that voltage must not exceed the limits of the receiver or it might be damaged. These two requirements generate two fundamental constraints in signal quality analysis: ringback and overshoot. If a designer or engineer were to just connect a transmitter to a receiver, the result would be something like the waveform shown in Figure 1 on the left side. Here, we can see there are both ringback and overshoot violations. The waveform shows negative overshoot in excess of 1V. It also rings back to 0.8V, which is the lower logic threshold. If a PCB were built with this topology as is, errors in the data stream would occur and the receivers could be damaged. If the length of the topology is reduced significantly, to well below the length of our signal edges, the receiver waveform is cleaned up dramatically. This can be seen in Figure 1 on the right side. Unfortunately, however, such lengths are typically on the order of an inch or so, which is not always feasible in a design. Another method of cleaning up the signal at the receiver is to use termination to match the impedance of the drivers and receivers to the board traces. This lets you control the reflections that create overshoot and ringback violations. Termination also allows for greater flexibility in topology length as trace lengths aren t restricted to an unrealistic maximum and cleaner signals can be obtained. 2

3 Figure 1: A topology with signal quality problems (left) and a reduced-length topology with clean signals (right). Termination values may be taken from bus design guidelines or, in the absence of such guidelines, determined from analysis. Powerful HyperLynx technology, integrated within the PADS flow, takes this a step further with the Termination Wizard, which can determine ideal termination values automatically by looking at the topology. The location of the terminator within the trace topology can also be determined by varying those lengths and analyzing the results. Maximum length rules for determining where the terminators should be placed are created from this analysis, as are length constraints for the other traces in the topology. An example of this is shown in Figure 2. All these lengths may be explored in order to create the widest solution space that still meets the signal quality requirements. 3

4 Figure 2: A terminated topology with clean signals. TIMING Most length constraints in a design are driven from a timing need. Timing needs come from the fact that data is clocked in to a receiver at certain intervals. If the data are not there when the system needs them to be, the system doesn t work. There are two main types of bus timing architectures: common clock and source synchronous. These lead to two types of layout constraints: min/max and matched lengths. Minimum and maximum delay constraints are created from common clock bus architectures. An example of this is PCI, where data are clocked out of a transmitter and into a receiver using a common clock. In order to make sure the data are not there too early, or violate the hold-time requirement, a minimum length constraint must be created. Similarly, to ensure that data do not arrive too late, a maximum length constraint is created. These constraints are not based merely upon the length of the line. Many other considerations, such as receiver loading and signal quality issues, determine when the transmitted data may be valid at the receiver, so proper signal analysis is critical for calculating these lengths appropriately. Matched delay constraints come about from source-synchronous busses. These busses, such as DDRx, send a clocking signal or strobe along with the data in order to clock it in at the receiver. This eliminates the complex timing relationship between driver and receiver, and requires only the matching of the strobe to the data. Typically, these interfaces have other concerns, such as signal quality, which determine when the data are valid. The main timing constraint for these busses is the matched delay constraint, which becomes tighter with increases in bus speed or signal quality problems. 4

5 CROSSTALK Another important constraint for the layout of the design is the spacing between traces. This is determined by the amount of crosstalk that occurs between the signals. A number of factors influence crosstalk, including: the edge rate of the driver, the board stackup, the amount of parallelism between traces, and the spacing between traces. Crosstalk affects both signal quality and timing, and the amount of crosstalk allowed on a given net can be determined from simulation. An example of a crosstalk simulation is shown in Figure 3. Figure 3: Crosstalk analysis in PADS Standard Plus and PADS Professional. Crosstalk analysis typically consists of a victim trace and two aggressor traces. More aggressors can be included but, in most cases, 95% of the crosstalk comes from the nearest two. With models for the driver, the receiver, and the board stackup built into the simulation, you can modify the spacing between the traces to determine an acceptable level of crosstalk. You can also modify the length that the traces run parallel and view the effects. The main result of such an analysis is a spacing rule between traces. If that spacing rule cannot be met, or if greater flexibility is to be allowed in the layout, a rule could be created with tighter spacing and a maximum parallelism constraint. Such a pair of constraints can be created from crosstalk analysis and modified as demands change. 5

6 POST-ROUTE VERIFICATION Once all the necessary routing constraints have been created and you ve routed the board using those constraints, it is good practice to verify that the board meets the original electrical requirements that prompted those constraints. A good way to close the loop is to do a post-route analysis of all the nets on the board. Figure 4 highlights an example from the Batch Mode wizard in PADS. In Figure 4, note that PADS uses HyperLynx to run a simulation directly on the layout data and to verify the design against overshoot, delay, and crosstalk constraints. If nets are found that violate any of these constraints, these nets can be simulated one at a time to be looked at in more detail. Post-route analysis is an excellent complement to the rigorous pre-route or what-if analysis that is performed earlier in the design cycle. It is another step in the series of tasks performed to analyze high-speed busses. Without these types of analyses, and the constraints created as results, modern digital systems could not be designed to meet the cost, size, and performance needs of today. Figure 4: Post-route analysis will ensure the routed board meets your electrical requirements and high-speed constraints. 6

7 HOW PADS CAN HELP The PADS product creation platform gives individual designers and electrical engineers a competitive advantage, providing the tools you need to resolve electronic product-design challenges. From concept to fabrication, PADS helps ensure quality and reliability throughout the design cycle. Choose the perfect configuration for your needs and budget. Scalable, intuitive solutions provide the horsepower needed to design, validate, and manufacture PCB-centric electronic products in easy-to-use suites that enable even casual or occasional users to accelerate time to productivity. Solutions are also available for customers working in global enterprise companies and for those with multi-gigabit, SERDES, electromagnetic, and electrical rule design challenges. Contact your sales person for more information. For the latest information, call us or visit: w w w. p a d s. c o m 2016 Mentor Graphics Corporation, all rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and may be duplicated in whole or in part by the original recipient for internal business purposes only, provided that this entire notice appears in all copies. In accepting this document, the recipient agrees to make every reasonable effort to prevent unauthorized use of this information. All trademarks mentioned in this document are the trademarks of their respective owners. MF 5/16 MISC w

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

HYPERLYNX DDR3 Wizard

HYPERLYNX DDR3 Wizard HYPERLYNX DDR3 Wizard w w w. m e n t o r. c o m HyperLynx DDR3 Wizard Virtual Lab Exercise Guide This tutorial outlines the steps for completing a DDR3 Wizard DDR analysis example in HyperLynx BoardSim.

More information

CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS

CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS w w w. m e n t o r. c o m Creating a 3D Via Model in HyperLynx for Channel Analysis This lab will illustrate the integrated 3D via solver within

More information

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2011 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group

More information

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Mated with PCIE-RA Series PCB Connectors Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS,

More information

What s New in HyperLynx 8.0

What s New in HyperLynx 8.0 What s New in HyperLynx 8.0 Copyright Mentor Graphics Corporation 2009 All Rights Reserved. Mentor Graphics, Board Station XE Flow, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS

THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS L I B R A R Y M A N A G E M E N T W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Accurate and

More information

PADS Professional Release Highlights

PADS Professional Release Highlights PADS Professional Release Highlights Software Version PADS Professional VX.2.3 2018 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics

More information

ProASIC PLUS SSO and Pin Placement Guidelines

ProASIC PLUS SSO and Pin Placement Guidelines Application Note AC264 ProASIC PLUS SSO and Pin Placement Guidelines Table of Contents Introduction................................................ 1 SSO Data.................................................

More information

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL DATASHEET The Cadence FPGA addresses the challenges that engineers encounter when designing one or more large-pin-count FPGAs on the PCB board which includes creating the initial pin assignment, integrating

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

IDT PEB383 QFP Board Design Guidelines

IDT PEB383 QFP Board Design Guidelines IDT PEB383 QFP Board Design Guidelines February 2010 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009 GENERAL

More information

HyperLynx DDRx Interface Analysis. Student Workbook

HyperLynx DDRx Interface Analysis. Student Workbook HyperLynx DDRx Interface Analysis Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

Intel Quartus Prime Standard Edition User Guide

Intel Quartus Prime Standard Edition User Guide Intel Quartus Prime Standard Edition User Guide PCB Design Tools Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Simultaneous Switching

More information

Constraint Manager for xpcb Layout. Table of Contents

Constraint Manager for xpcb Layout. Table of Contents Table of Contents 2014 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Tsi381 Board Design Guidelines

Tsi381 Board Design Guidelines Tsi381 Board Design Guidelines September 2009 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009, Inc. GENERAL

More information

Implementing Multi-Gigabit Serial Links in a System of PCBs

Implementing Multi-Gigabit Serial Links in a System of PCBs Implementing Multi-Gigabit Serial Links in a System of PCBs Donald Telian April 2002 rev. 1.2 About the Author Donald Telian Technologist, Cadence Design Systems Donald has been involved in high-speed

More information

Tsi384 Board Design Guidelines

Tsi384 Board Design Guidelines Tsi384 Board Design Guidelines September 2009 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009, Inc. GENERAL

More information

Control Your QDR Designs

Control Your QDR Designs Control Your QDR Designs A step-by-step guide to solving QDR memory data capture challenges with Virtex-II FPGAs. by Jerry A. Long Technical Marketing Manager, Chronology Division Forte Design Systems

More information

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015 Chip/Package/Board Interface Pathway Design and Optimization Tom Whipple Product Engineering Architect November 2015 Chip/package/board interface pathway design and optimization PCB design with Allegro

More information

MAX 10 FPGA Signal Integrity Design Guidelines

MAX 10 FPGA Signal Integrity Design Guidelines 2014.12.15 M10-SIDG Subscribe Today s complex FPGA system design is incomplete without addressing the integrity of signals coming in to and out of the FPGA. Simultaneous switching noise (SSN) often leads

More information

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS P C B D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m Simulation models are often used to help

More information

Personal Automated Design System Release Highlights

Personal Automated Design System Release Highlights Personal Automated Design System Release Highlights Software Version: PADS VX.2.3 February 2018 2018 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary

More information

Specifying the PCB Design Rules and Resolving Violations

Specifying the PCB Design Rules and Resolving Violations Specifying the PCB Design Rules and Resolving Violations Summary This article introduces the PCB Design Rules System, in particular how rules are created and applied to objects in a design. It also describes

More information

AN 523: Cyclone III Devices Configuration Interface Guidelines with EPCS Devices

AN 523: Cyclone III Devices Configuration Interface Guidelines with EPCS Devices AN 523: Devices Configuration Interface Guidelines with Devices February 2014 AN-523-1.3 Introduction This application note provides the guidelines to Cyclone III family devices ( and LS devices) interfacing

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide I N T E R C O N N E C T A P P L I C A T I O N N O T E STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide Report # 32GC001 01/26/2015 Rev 3.0 STRADA Whisper Connector

More information

Crosstalk, Part 2 Simulating Crosstalk Effects

Crosstalk, Part 2 Simulating Crosstalk Effects TECHNICAL PUBLICATION Crosstalk, Part 2 Simulating Crosstalk Effects Douglas Brooks, President UltraCAD Design, Inc. April 2004 www.mentor.com ABSTRACT It is known that forward crosstalk increases (for

More information

HyperLynx 教程. Pre-layout (LineSim):

HyperLynx 教程. Pre-layout (LineSim): HyperLynx 教程 Pre-layout (LineSim): Signal-integrity and EMC analysis Crosstalk and differential-signal analysis Analysis for gigabit-per-second, SERDES-based designs Stackup and impedance planning Post-layout

More information

Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips

Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips Introduction Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips Introduction Background Low-power (LP) SDRAM, including both low-power double data rate (LPDDR) and

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems

Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems Interfacing Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems April 2008 AN-447-1.1 Introduction Altera Cyclone III devices are compatible and support 3.3/3.0/2.5-V LVTTL/LVCMOS I/O standards. This application

More information

ProASIC3/E SSO and Pin Placement Guidelines

ProASIC3/E SSO and Pin Placement Guidelines ProASIC3/E SSO and Pin Placement Guidelines Introduction SSO Effects Ground bounce and VCC bounce have always been present in digital integrated circuits (ICs). With the advance of technology and shrinking

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

AdvancedTCA Backplane Tester

AdvancedTCA Backplane Tester AdvancedTCA Backplane Tester Alexandra Dana Oltean, Brian Martin POLITEHNICA University Bucharest Romania and CERN 1211Geneva 23 Switzerland Email: alexandra.oltean@cern.ch, brian.martin@cern.ch CERN-OPEN-2005-014

More information

Digital Timing. Using TimingDesigner to Generate SDC Timing Constraints. EMA TimingDesigner The industry s most accurate static timing analysis

Digital Timing. Using TimingDesigner to Generate SDC Timing Constraints. EMA TimingDesigner The industry s most accurate static timing analysis EMA TimingDesigner The industry s most accurate static timing analysis Digital Timing Learn about: Using TimingDesigner to generate SDC for development of FPGA designs Using TimingDesigner to establish

More information

Layer Stackup Wizard: Intuitive Pre-Layout Design

Layer Stackup Wizard: Intuitive Pre-Layout Design Application Brief Layer Stackup Wizard: Intuitive Pre-Layout Design INTRODUCTION This Application Brief describes the Layer Stackup Wizard, a powerful utility within ANSYS SIwave for pre-layout stackup

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Copyright 2011 by Dr. Andrew David Norte. All Rights Reserved.

Copyright 2011 by Dr. Andrew David Norte. All Rights Reserved. Near-End Crosstalk Considerations For Coupled Microstriplines David Norte, PhD www.the-signal-and-power-integrity-institute.com Thornton, Colorado, 80234, USA Abstract This paper addresses the impact of

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

LiTE Design PORTFOLIO

LiTE Design PORTFOLIO LiTE Design We Focus on scaling to new & latest technology in Electronic Design System, to develop & produce innovative products, services & solutions with our Potential that Exceeds the expectations of

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Multi-Drop LVDS with Virtex-E FPGAs

Multi-Drop LVDS with Virtex-E FPGAs Multi-Drop LVDS with Virtex-E FPGAs XAPP231 (Version 1.0) September 23, 1999 Application Note: Jon Brunetti & Brian Von Herzen Summary Introduction Multi-Drop LVDS Circuits This application note describes

More information

CENG 4480 Lecture 11: PCB

CENG 4480 Lecture 11: PCB CENG 4480 Lecture 11: PCB Bei Yu Reference: Chapter 5 of Ground Planes and Layer Stacking High speed digital design by Johnson and Graham 1 Introduction What is a PCB Why we need one? For large scale production/repeatable

More information

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE Page 1 of 8 HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE FPGA I/O When To Go Serial by Brock J. LaMeres, Agilent Technologies Ads by Google Physical Synthesis Tools Learn How to Solve

More information

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Application Note QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Copyrights and Trademarks Copyright 2004 Samtec,

More information

Agilent Bead Probe Technology

Agilent Bead Probe Technology Agilent Bead Probe Technology Page 1 Abstract Lead-free, shrinking geometries, new packages and high-speed signaling present new challenges for ICT. The impact will be more defects, loss of access, lower

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents Interfacing Intel FPGA Devices with 3.3/3.0/2.5

More information

Personal Automated Design System. Release Highlights

Personal Automated Design System. Release Highlights Personal Automated Design System Release Highlights Software Version: PADS VX.2.1 2016 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor

More information

PCB insertion loss test system

PCB insertion loss test system PCB insertion loss test system Accurate measurement of transmission line insertion loss for multi-ghz PCB fabrication Atlas Si - for SET2DIL Atlas Si - for SPP Ensures accurate insertion loss measurement

More information

ANTC205. Introduction

ANTC205. Introduction ANTC205 Introduction The JitterBlocker takes very noisy and jittery clocks and cleans out all the deterministic and excessive jitter. It can handle thousands of picoseconds of period jitter at its input

More information

Xpedition xpcb Layout Advanced. Student Workbook

Xpedition xpcb Layout Advanced. Student Workbook Xpedition Student Workbook 2015 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors

More information

PCB insertion loss test system

PCB insertion loss test system PCB insertion loss test system Accurate measurement of transmission line insertion loss for multi-ghz PCB fabrication Si - for SPP Si - for Delta-L Si - for SET2DIL Ensures accurate insertion loss measurement

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Strategies for Deploying Xilinx s Zynq UltraScale+ RFSoC

Strategies for Deploying Xilinx s Zynq UltraScale+ RFSoC Strategies for Deploying Xilinx s Zynq UltraScale+ RFSoC by Robert Sgandurra Director, Product Management On February 21 st, 2017, Xilinx announced the introduction of a new technology called RFSoC with

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1.

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1. I N T E R C O N N E C T A P P L I C A T I O N N O T E Advanced Mezzanine Card (AMC) Connector Routing Report # 26GC011-1 September 21 st, 2006 v1.0 Advanced Mezzanine Card (AMC) Connector Copyright 2006

More information

Making Your Most Accurate DDR4 Compliance Measurements. Ai-Lee Kuan OPD Memory Product Manager

Making Your Most Accurate DDR4 Compliance Measurements. Ai-Lee Kuan OPD Memory Product Manager Making Your Most Accurate DDR4 Compliance Measurements Ai-Lee Kuan OPD Memory Product Manager 1 Agenda DDR4 Testing Strategy Probing Analysis Tool Compliance Test Conclusion 2 DDR4 Testing Strategy 1.

More information

The Fast Track to PCIe 5.0

The Fast Track to PCIe 5.0 WHITE PAPER The Fast Track to PCIe 5.0 Doubling the Throughput of PCIe 4.0 to 32 GT/s Data center operators need to embrace next-generation technologies to support the response times and high bandwidth

More information

Multi-Board Systems Design

Multi-Board Systems Design Multi-Board Systems Design D A T A S H E E T MAJOR BENEFITS: Xpedition optimizes multi-board system design from logical system definition through manufacturing. Overview Electronic multi-board systems

More information

Section 3 - Backplane Architecture Backplane Designer s Guide

Section 3 - Backplane Architecture Backplane Designer s Guide Section 3 - Backplane Architecture Backplane Designer s Guide March 2002 Revised March 2002 The primary criteria for backplane design are low cost, high speed, and high reliability. To attain these often-conflicting

More information

Platform Design Guide

Platform Design Guide Platform Design Guide Revision 1.1A Intel Corporation September 1997 THIS SPECIFICATION IS PROVIDED AS IS WITH NO WARRANTIES WHATSOEVER, INCLUDING ANY WARRANTY OF MERCHANTABILITY, NONINFRINGEMENT, FITNESS

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

1999 High Performance Systems Design Conference

1999 High Performance Systems Design Conference ON99. 1999 High Performance Systems Design Conference 66 MHz Simulation Goal ON9999 As many slots as possible while maintaining system reliability! 66 MHz CompactPCI Considerations Complete Compatibility

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

ONE STOP SOLUTION FOR YOUR EMBEDDED SYSTEMS NEEDS

ONE STOP SOLUTION FOR YOUR EMBEDDED SYSTEMS NEEDS ONE STOP SOLUTION FOR YOUR EMBEDDED SYSTEMS NEEDS 39/B, Yogashram Society, Behind Manekbaug Society, Ahmedabad 380015, INDIA TEL - +91-9825366832 EMAIL: gaurav_jogi@yahoo.co.in URL: http://gjmicrosys.tripod.com

More information

EtherCAT or Ethernet for Motion Control

EtherCAT or Ethernet for Motion Control June-16 EtherCAT or Ethernet for Motion Control Choosing the Right Network Solution for your Application Intro Ethernet based bus solutions have become the dominant method of communications in the motion

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

Fairchild Semiconductor Application Note December 2000 Revised June What is LVDS? FIGURE 2. Driver/Receiver Schematic

Fairchild Semiconductor Application Note December 2000 Revised June What is LVDS? FIGURE 2. Driver/Receiver Schematic LVDS Fundamentals Introduction With the recent developments in the communications market, the demand for throughput is becoming increasingly more crucial. Although older differential technologies provide

More information

Graser User Conference Only

Graser User Conference Only High-Speed Interface Driven PCB Design (Net Group, Aixx, Floorplanning etc) Mika Ho / Graser 31/Oct/2014 Topic Chapter One An Interface Example DDRx An Example: Timing Relationship for DDR3 Case Description

More information

LVDS applications, testing, and performance evaluation expand.

LVDS applications, testing, and performance evaluation expand. Stephen Kempainen, National Semiconductor Low Voltage Differential Signaling (LVDS), Part 2 LVDS applications, testing, and performance evaluation expand. Buses and Backplanes D Multi-drop D LVDS is a

More information

INTERNET OF THINGS (IoT) DESIGN CONSIDERATIONS FOR EMBEDDED CONNECTED DEVICES ANDREW CAPLES SENIOR PRODUCT MARKETING MANAGER, NUCLEUS

INTERNET OF THINGS (IoT) DESIGN CONSIDERATIONS FOR EMBEDDED CONNECTED DEVICES ANDREW CAPLES SENIOR PRODUCT MARKETING MANAGER, NUCLEUS INTERNET OF THINGS (IoT) DESIGN CONSIDERATIONS FOR EMBEDDED CONNECTED DEVICES ANDREW CAPLES SENIOR PRODUCT MARKETING MANAGER, NUCLEUS E M B E D D E D S O F T W A R E W H I T E P A P E R w w w. m e n t

More information

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

designs with signals operating in the multi-gigahertz (MGH) frequency range. It DATASHEET ALLEGRO PCB SI GXL Cadence Allegro PCB SI GXL provides a virtual prototyping environment for designs with signals operating in the multi-gigahertz (MGH) frequency range. It offers a completely

More information

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs October 2014 Introduction Today s FPGAs and System-on-Chip (SoC) FPGAs offer vast amounts of user configurable resources

More information

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018 Application Note AN105 A1 PCB Design and Layout Considerations for Adesto Memory Devices March 8, 2018 Adesto Technologies 2018 3600 Peterson Way Santa Clara CA. 95054 Phone 408 400 0578 www.adestotech.com

More information

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions

SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions SSO Noise And Conducted EMI: Modeling, Analysis, And Design Solutions Patrice Joubert Doriol 1, Aurora Sanna 1, Akhilesh Chandra 2, Cristiano Forzan 1, and Davide Pandini 1 1 STMicroelectronics, Central

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

ATCA Platform Considerations for Backplane Ethernet. Aniruddha Kundu Michael Altmann Intel Corporation May 2004

ATCA Platform Considerations for Backplane Ethernet. Aniruddha Kundu Michael Altmann Intel Corporation May 2004 ATCA Platform Considerations for Backplane Ethernet Aniruddha Kundu Michael Altmann Intel Corporation May 2004 IEEE 802.3ap Back Plane Ethernet TF Interim meeting May 2004 1 Introduction This presentation

More information

Gx TIME CHART RECORDER APPLICATION (Catalog # SFT133) USER S MANUAL

Gx TIME CHART RECORDER APPLICATION (Catalog # SFT133) USER S MANUAL Page 1 of 37 Gx TIME CHART RECORDER APPLICATION (Catalog # SFT133) USER S MANUAL M. C. Miller Co., Inc. 11640 U.S. Highway 1, Sebastian, FL 32958 Page 2 of 37 CONTENTS Page Section 1: Introduction.. 3

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 7-25-12 Any assistance, services, comments, information, or suggestions provided by SMSC (including without limitation any comments to the effect that the Company

More information

Characterize and Debug Crosstalk Issues with Keysight Crosstalk Analysis App

Characterize and Debug Crosstalk Issues with Keysight Crosstalk Analysis App Chong Min-Jie Characterize and Debug Crosstalk Issues with Crosstalk Analysis App Page Characterize and Debug Crosstalk Issues with Crosstalk Analysis App Min-Jie Chong HPS Product Manager & Planner Oscilloscope

More information

Accelerating CDC Verification Closure on Gate-Level Designs

Accelerating CDC Verification Closure on Gate-Level Designs Accelerating CDC Verification Closure on Gate-Level Designs Anwesha Choudhury, Ashish Hari anwesha_choudhary@mentor.com, ashish_hari@mentor.com Design Verification Technologies Mentor Graphics Abstract:

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

Report # 20GC004-1 November 15, 2000 v1.0

Report # 20GC004-1 November 15, 2000 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK HS3 Connector Routing Report # 20GC004-1 November 15, 2000 v1.0 Z-PACK HS3 6 Row 60 Position and 30 Position Connectors Copyright 2000 Tyco

More information

Development of a Support Tool for PCB Design with EMC Constraint

Development of a Support Tool for PCB Design with EMC Constraint Development of a Support Tool for PCB Design with EMC Constraint Reflection and Crosstalk Noise Reduction in Manual Design Yuji Tarui Hamamatsucho Branch Tokyo Internet PC school Hamamatsucho, Tokyo 5

More information

AN USB332x Transceiver Layout Guidelines

AN USB332x Transceiver Layout Guidelines AN 17.19 USB332x Transceiver Layout Guidelines 1 Introduction SMSC s USB332x comes in a 25 ball Wafer-Level Chip-Scale Package (WLCSP) lead-free RoHS compliant package; (1.95 mm X 1.95 mm, 0.4mm pitch

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK TinMan Connector Routing Report # 27GC001-1 May 9 th, 2007 v1.0 Z-PACK TinMan Connectors Copyright 2007 Tyco Electronics Corporation, Harrisburg,

More information