Comprehensive Multilayer Substrate Models for Co-Simulation of Power and Signal Integrity

Size: px
Start display at page:

Download "Comprehensive Multilayer Substrate Models for Co-Simulation of Power and Signal Integrity"

Transcription

1 Comprehensie Multilayer Substrate Models for Co-Simulation of Power and Signal Integrity Renato Rimolo-Donadio Xiaomin Duan, Heinz-Dietrich Brüns, Christian Schuster Institut für Technische Uniersität Hamburg-Harburg, Germany TET-IHF Seminar October 30, 2009 Technische Uniersität Hamburg-Harburg

2 Motiation 2

3 Motiation Efficient co-simulation of power and signal integrity relying on physics-based ia and trace models R. Rimolo-Donadio, X. Duan, H.-D. Brüns, and C. Schuster, Comprehensie multilayer substrate models for cosimulation of power and signal integrity, in Proceedings 42th International Symposium on Microelectronics IMAPS, San Jose, California, USA, 3-5 Noember,

4 Content Modeling Approach Study Case Validation against Full-Wae Simulation Via Array Design Example Conclusions & Outlook 4

5 Content Modeling Approach Study Case Validation against Full-Wae Simulation Via Array Design Example Conclusions & Outlook 5

6 Physics-Based Models Quasi-analytical representations in terms of microwae network parameters for the main interconnect elements. Partitioning approach allows the utilization of concise model building blocks Insight into the main physical mechanisms and their contribution Most model components can be computed using analytical / quasi-analytical formulae High numerical efficiency Models suitable for fast prototyping and optimization studies 6

7 Modeling Approach Caity Multilayer Substrate Cross-Section Example 7

8 Model Components Caity Parallel-Plate Impedance Via-Plane Capacitances Transmission Line Model C Z tl 8

9 Parallel-Plate Impedance Model propagating modes inside the caity ( computed with e.g. caity resonator model) i i i = pp -1 + pp pp pp + pp i = ui li ; i i = i ui = -i li Expanded pp Matrix 9

10 Trace Model and Modal Decomposition Transmission Line Model h ui Z h T tl Z tl T li Modal Transformation A. E. Engin, et al. Modeling of striplines between a power and a ground plane, IEEE Trans. Ad. Packag., ol. 29, no. 3, pp , Aug. 06 k i = h ui hli + h li Z tl = tl -1 + pp pp pp + pp k + tl 0 0 ( k2 + k) + tl ( k + 1) tl ( k2 + k) tl ( k2 + k) tl ( k2 + k) tl 10

11 Via-Plane Capacitance C C T Transmission Line Model Z tl Z tl T C Approximation of the fringing fields in the antipad region Connectiity for power ias C C C u 0 0 l + + pp pp pp k + tl + pp 0 0 ( k2 + k) + tl ( k + 1) tl ( k2 + k) tl ( k2 + k) tl ( k2 + k) tl 11

12 12 Stand-alone Model for a Single Caity C Z tl T Z tl T C C C C C u1 u1 i u 2 u 2 i u3 u3 i l1 l1 i 2 l 2 l i 3 l l3 i u u I V l l I V = l u pp pp pp pp l u l u V V tl k k tl k k tl k k tl k k tl k 0 0 tl k 0 0 I I ) 2 ( ) 2 ( ) 2 ( ) 2 ( 1) (

13 Multilayer Substrate Model Decap L interc. Decoupling capacitor model Port 1 Port n Z tl Caity representation S-Parameter Matrix Z tl Caity representation Caities joined by segmentation techniques R. Rimolo-Donadio et al., Physics-based ia and trace models for efficient link simulation on multilayer structures up to 40 GHz, IEEE Trans. Microw. Theory and Techn., ol. 57, no. 8, p.p , August

14 Content Modeling Approach Study Case Validation against Full-Wae Simulation Via Array Design Example Conclusions & Outlook 14

15 Study Case Δ Δ Port inch Signal ia Power ia Ground ia Port 1 Port 2 Port 3 Port inch Traces in caity 3 Traces in caity inch 2 inch Port 8 Port 7 Port 6 Port 5 Port 10 Perfect magnetic conductor (PMC) at board edges 1 inch Via pitch Δ = 50 mil Via radius = 5 mil Antipad radius = 15 mil 1 mil = inch 25.4 μm 20 mil coaxial extension for ports Signal ia Power ia Ground ia Ground planes Power plane Ground planes Caity 2 Caity 3 d d = 12 mil ε r = 3.8 tanδ = 0.03 Metallic regions modeled as PEC Via open end 15

16 Content Modeling Approach Study Case Validation against Full-Wae Simulation Via Array Design Example Conclusions & Outlook 16

17 Power and Signal Nets Interaction Signal Power Port Interaction Frequency [GHz] Signal Signal Port Interaction Frequency [GHz] 17

18 Power and Signal Nets Interaction Signal Power Port Interaction Signal Signal Port Interaction Input: 1-V 100-ps full-widthhalf-maximum Gaussian pulse 18

19 Common-Mode s Differential Signal Power Port Interaction 19

20 Content Modeling Approach Study Case Validation against Full-Wae Simulation Via Array Design Example Conclusions & Outlook 20

21 Via Array Configurations Via Array Prototyping Case I Case II Case III Case IV Case V Simulation Time (200 freqs) 9 s (16 ias) 12 s (20 ias) 21 s (28 ias) 40 s (42 ias) 180 s (90 ias) Full-Wae > 40 h (3.0 GHz CPU, 32-bit PC, 4GB RAM ) 21

22 Single-Ended Crosstalk I Case V shows similar crosstalk with respect to case IV (same local configuration) Crosstalk reduction achieed mainly with nearest neighboring ground ias 22

23 Single-Ended Crosstalk II Case II, IV, V show similar crosstalk leel Crosstalk reduction achieed mainly with nearest neighboring ground ias 23

24 Surface Decoupling Capacitors Decap : ESR = 100 mω, ESL+L int = 2 nh, C = 10nF Many capacitors are required to reduce L and to increase C, but it is difficult to achiee an effectie decoupling in the GHz range with surface capacitors for multilayer structures. 24

25 Content Modeling Approach Study Case Validation against Full-Wae Simulation Example of Via Array Design Conclusions & Outlook 25

26 Conclusions & Outlook Efficient physics-based models for simulation of multilayer substrates hae been presented (oer three orders of magnitude faster in comparison to full-wae simulations) Approach is suitable for efficient co-simulation of power and signal integrity. Future Work: - Explore model limitations and potential improements (e.g. discontinuities such as split planes, ery dense arrays) - Application of the method for structures with a ery large number of elements and comparison to other hybrid solers. 26

27 References (selected) [1] G. Selli, C. Schuster,. Kwark, M. Ritter, and J. L. Drewniak, Model-to-hardware correlation of physics based ia models with the parallel plate impedance included, in Proc. IEEE Electromagn. Compat. Symp., Portland, OR, Aug. 2006, pp [2] C. Schuster, G. Selli,. H. Kwark, M. B. Ritter, and J. L. Drewniak, Accuracy and application of physics-based circuit models for ias, in Proc. IMAPS 39th Int. Microelectron. Symp., San Diego, CA, Oct [3] R. Rimolo-Donadio, X. Gu,. H. Kwark, M. B. Ritter, B. Archambeault, F. De Paulis,. Zhang, J. Fan, H.-D. Bruens, C. Schuster, Physics-based ia and trace models for efficient link simulation on multilayer structures up to 40 GHz, IEEE Trans. Microw. Theory and Techn., ol. 57, no. 8, p.p , August [4] T. Okoshi, Planar Circuits for Microwaes and Lightwaes, Berlin, Germany: Springer-Verlag, 1985, ch. 2. [5] G. T.Lei, R. W. Techentin, P. R. Hayes, D. J. Schwab, and B. K. Gilbert, Wae model solution to the ground/power plane noise problem, IEEE Trans. Instrum.. Meas., ol. 44, no. 2, pp , Apr [6] A. E. Engin, W. John, G. Sommer, W. Mathis, and H. Reichl, Modeling of striplines between a power and a ground plane, IEEE Trans. Ad. Packag., ol. 29, no. 3, pp , Aug [7] K. C. Gupta and M. D. Abouzahra, Analysis and Design of Planar Microwae Components. Piscataway, NJ: IEEE Press, 1994, pp [8] HFSS. er. 11, Ansoft Corporation, Pittsburgh, PA, Set [Online]. [9] J. Fan, M. Cocchini, B. Archambeault, J. L. Knighten, J. L. Drewniak, S. Connor, Signal and power/ground nets noise due to signal ia transition, IEEE Symposium on Electromagn. Compat., August [10] A. Ferrero, and M. Pirola, Generalized mixed-mode S-parameters, IEEE Trans. Microw. Theory Tech., ol. 54, no.1, pp , Jan [11] Z. L. Wang, O. Wada,. Toyota, and R. Koga, Conergence acceleration and accuracy improement in power bus impedance calculation with a fast algorithm using caity modes, IEEE Trans. Electromagn. Compat., ol. 47, no. 1, pp. 2 8, Feb

Fast Electromagnetic Modeling of 3D Interconnects on Chip-package-board

Fast Electromagnetic Modeling of 3D Interconnects on Chip-package-board PIERS ONLINE, VOL. 6, NO. 7, 2010 674 Fast Electromagnetic Modeling of 3D Interconnects on Chip-package-board Boping Wu 1, Xin Chang 1, Leung Tsang 1, and Tingting Mo 2 1 Department of Electrical Engineering,

More information

Recent Via Modeling Methods for Multi-Vias in a Shared Anti-pad

Recent Via Modeling Methods for Multi-Vias in a Shared Anti-pad Recent Via Modeling Methods for Multi-Vias in a Shared Anti-pad Yao-Jiang Zhang, Jun Fan and James L. Drewniak Electromagnetic Compatibility (EMC) Laboratory, Missouri University of Science &Technology

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

Genesys 2012 Tutorial - Using Momentum Analysis for Microwave Planar Circuits

Genesys 2012 Tutorial - Using Momentum Analysis for Microwave Planar Circuits Genesys 2012 Tutorial - Using Momentum Analysis for Microwave Planar Circuits Create the following schematics in Figure 1 with Genesys s schematic editor, which depicts two sections of a cascaded microstrip

More information

EXAMINING THE IMPACT OF SPLIT PLANES ON SIGNAL AND POWER INTEGRITY

EXAMINING THE IMPACT OF SPLIT PLANES ON SIGNAL AND POWER INTEGRITY EXAMINING THE IMPACT OF SPLIT PLANES ON SIGNAL AND POWER INTEGRITY Jason R. Miller, Gustavo J. Blando, Roger Dame, K. Barry A. Williams and Istvan Novak Sun Microsystems, Burlington, MA 1 AGENDA Introduction

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

ECE 497 JS Lecture - 21 Noise in Digital Circuits

ECE 497 JS Lecture - 21 Noise in Digital Circuits ECE 497 JS Lecture - 21 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - NL05 program available -

More information

Modeling and Analysis of Crosstalk between Differential Lines in High-speed Interconnects

Modeling and Analysis of Crosstalk between Differential Lines in High-speed Interconnects 1293 Modeling and Analysis of Crosstalk between Differential Lines in High-speed Interconnects F. Xiao and Y. Kami University of Electro-Communications, Japan Abstract The crosstalk between a single-ended

More information

An Effective Modeling Method for Multi-scale and Multilayered Power/Ground Plane Structures

An Effective Modeling Method for Multi-scale and Multilayered Power/Ground Plane Structures An Effective Modeling Method for Multi-scale and Multilayered Power/Ground Plane Structures Jae Young Choi and Madhavan Swaminathan School of Electrical and Computer Engineering Georgia Institute of Technology

More information

CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK

CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK 24 CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK 2.1 INTRODUCTION The high speed digital signal propagates along the transmission lines in the form of transverse electromagnetic (TEM) waves at very

More information

A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools

A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools A Proposed Set of Specific Standard EMC Problems To Help Engineers Evaluate EMC Modeling Tools Bruce Archambeault, Ph. D Satish Pratapneni, Ph.D. David C. Wittwer, Ph. D Lauren Zhang, Ph.D. Juan Chen,

More information

Implementation of Crosstalk Elimination Using Digital Patterns Methodology

Implementation of Crosstalk Elimination Using Digital Patterns Methodology Implementation of Crosstalk Elimination Using Digital Patterns Methodology Kolli Kalpana M.Tech Student Department of ECE Nova College of Engineering and Technology, Ibrahimpatnam, Vijayawada, Krishna,

More information

Additional Trace Losses due to Glass- Weave Periodic Loading. Jason R. Miller, Gustavo Blando and Istvan Novak Sun Microsystems

Additional Trace Losses due to Glass- Weave Periodic Loading. Jason R. Miller, Gustavo Blando and Istvan Novak Sun Microsystems Additional Trace Losses due to Glass- Weave Periodic Loading Jason R. Miller, Gustavo Blando and Istvan Novak Sun Microsystems 1 Introduction PCB laminates are composed of resin and a glass fabric Two

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide I N T E R C O N N E C T A P P L I C A T I O N N O T E STRADA Whisper 4.5mm Connector Enhanced Backplane and Daughtercard Footprint Routing Guide Report # 32GC001 01/26/2015 Rev 3.0 STRADA Whisper Connector

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

Outline. Darren Wang ADS Momentum P2

Outline. Darren Wang ADS Momentum P2 Outline Momentum Basics: Microstrip Meander Line Momentum RF Mode: RFIC Launch Designing with Momentum: Via Fed Patch Antenna Momentum Techniques: 3dB Splitter Look-alike Momentum Optimization: 3 GHz Band

More information

A novel method to reduce differential crosstalk in a highspeed

A novel method to reduce differential crosstalk in a highspeed DesignCon 5 A novel method to reduce differential crosstalk in a highspeed channel Kunia Aihara, Hirose Electric kaihara@hirose.com Jeremy Buan, Hirose Electric jbuan@hirose.com Adam Nagao, Hirose Electric

More information

Understanding Strip (Finite) and Slot (Infinite) Ground based EM simulations in ADS

Understanding Strip (Finite) and Slot (Infinite) Ground based EM simulations in ADS Understanding Strip (Finite) and Slot (Infinite) Ground based EM simulations in ADS ADS offer three ways in which designers can model the return path (ground) for their structures to perform EM simulations.

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

CENG 4480 Lecture 11: PCB

CENG 4480 Lecture 11: PCB CENG 4480 Lecture 11: PCB Bei Yu Reference: Chapter 5 of Ground Planes and Layer Stacking High speed digital design by Johnson and Graham 1 Introduction What is a PCB Why we need one? For large scale production/repeatable

More information

Minimization of Crosstalk in PCB

Minimization of Crosstalk in PCB Minimization of Crosstalk in PCB Avali Ghosh 1, Sisir Kumar Das 2, Annapurna Das 3 1, 2, 3 ECE Department, MAKAUT, GNIT, Kolkata Abstract: This paper describes the cross-talk problems in printed circuit

More information

CEI-28G-VSR Channel Simulations, Validation, & Next Steps. Nathan Tracy and Mike Fogg May 18, 2010

CEI-28G-VSR Channel Simulations, Validation, & Next Steps. Nathan Tracy and Mike Fogg May 18, 2010 CEI-28G-VSR Channel Simulations, Validation, & Next Steps Nathan Tracy and Mike Fogg May 18, 21 Summary of Contribution Updated information showing Tyco Electronics 25/28Gbps first generation modular interconnect

More information

EMC ISSUES OF WIDE PCB BUSES

EMC ISSUES OF WIDE PCB BUSES EMC ISSUES OF WIDE PCB BUSES Bertalan Eged István Novák Péter Bajor Technical University of Budapest, Department of Microwave Telecommunications A device under test with 17 coupled microstrip traces was

More information

Powerful features (1)

Powerful features (1) HFSS Overview Powerful features (1) Tangential Vector Finite Elements Provides only correct physical solutions with no spurious modes Transfinite Element Method Adaptive Meshing r E = t E γ i i ( x, y,

More information

Introducing Virtuoso RF Designer (RFD) For RFIC Designs

Introducing Virtuoso RF Designer (RFD) For RFIC Designs A seminar on Cadence Virtuoso RF Designer is scheduled for March 5, 2008. To know more, write to Brajesh Heda at brajesh@cadence.com Introducing Virtuoso RF Designer (RFD) For RFIC Designs Introduction

More information

Copyright 2011 by Dr. Andrew David Norte. All Rights Reserved.

Copyright 2011 by Dr. Andrew David Norte. All Rights Reserved. Near-End Crosstalk Considerations For Coupled Microstriplines David Norte, PhD www.the-signal-and-power-integrity-institute.com Thornton, Colorado, 80234, USA Abstract This paper addresses the impact of

More information

ECE ILLINOIS. ECE 451: Ansys HFSS Tutorial. Simulate and Analyze an Example of Microstrip Line. Drew Handler, Jerry Yang October 20, 2014

ECE ILLINOIS. ECE 451: Ansys HFSS Tutorial. Simulate and Analyze an Example of Microstrip Line. Drew Handler, Jerry Yang October 20, 2014 ECE ILLINOIS ECE 451: Ansys HFSS Tutorial Simulate and Analyze an Example of Microstrip Line Drew Handler, Jerry Yang October 20, 2014 Introduction ANSYS HFSS is an industry standard tool for simulating

More information

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs I.K. Anyiam 1 Introduction LVDS SerDes helps to reduce radiated emissions, but does not completely eliminate them EMI prevention must

More information

Signal Integrity Analysis and Simulation on 3D-ICs

Signal Integrity Analysis and Simulation on 3D-ICs Signal Integrity Analysis and Simulation on 3D-ICs Nikolaos Gkolemis Department of Electrical Engineering University of California, Los Angeles ngkolemis@ucla.edu Yubo Wang Department of Electrical Engineering

More information

Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance

Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance Sigmala Mani Raju PG Scholar, Dept of ECE, Global College of Engineering & Technology, Kadapa, YSR (Dt), AP, India. Abstract: In

More information

Report # 20GC004-1 November 15, 2000 v1.0

Report # 20GC004-1 November 15, 2000 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK HS3 Connector Routing Report # 20GC004-1 November 15, 2000 v1.0 Z-PACK HS3 6 Row 60 Position and 30 Position Connectors Copyright 2000 Tyco

More information

Simulation Strategies for Massively Parallel Supercomputer Design

Simulation Strategies for Massively Parallel Supercomputer Design Simulation Strategies for Massively Parallel Supercomputer Design Authored by: Ansoft Corporation Special Thanks to: Cray Presentation #2 Ansoft 2003 / Global Seminars: Delivering Performance Introduction

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK TinMan Connector Routing Report # 27GC001-1 May 9 th, 2007 v1.0 Z-PACK TinMan Connectors Copyright 2007 Tyco Electronics Corporation, Harrisburg,

More information

A simple method to characterize and accurately remove the effects of push-on connectors. O.J. Danzy Application Engineer

A simple method to characterize and accurately remove the effects of push-on connectors. O.J. Danzy Application Engineer A simple method to characterize and accurately remove the effects of push-on connectors. O.J. Danzy Application Engineer Original Authors Robert Schaefer, Keysight Technologies, Inc. Reiner Oppelt, Rosenberger

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1.

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1. I N T E R C O N N E C T A P P L I C A T I O N N O T E Advanced Mezzanine Card (AMC) Connector Routing Report # 26GC011-1 September 21 st, 2006 v1.0 Advanced Mezzanine Card (AMC) Connector Copyright 2006

More information

Workshop 3-1: Coax-Microstrip Transition

Workshop 3-1: Coax-Microstrip Transition Workshop 3-1: Coax-Microstrip Transition 2015.0 Release Introduction to ANSYS HFSS 1 2015 ANSYS, Inc. Example Coax to Microstrip Transition Analysis of a Microstrip Transmission Line with SMA Edge Connector

More information

DesignCon Impact of Probe Coupling on the Accuracy of Differential VNA Measurements

DesignCon Impact of Probe Coupling on the Accuracy of Differential VNA Measurements DesignCon 2013 Impact of Probe Coupling on the Accuracy of Differential VNA Measurements Sarah Paydavosi, Oracle Corp. Laura Kocubinski, Oracle Corp. Jason Miller, Oracle Corp. Gustavo Blando, Oracle Corp.

More information

Novel Methodology for Mid-Frequency Delta-I Noise Analysis of Complex Computer System Boards and Verification by Measurements

Novel Methodology for Mid-Frequency Delta-I Noise Analysis of Complex Computer System Boards and Verification by Measurements Novel Methodology for Mid-Frequency Delta-I Noise Analysis of Complex Computer System Boards and Verification by Measurements Bernd Garben IBM Laboratory, 7032 Boeblingen, Germany, e-mail: garbenb@de.ibm.com

More information

HFSS Hybrid Finite Element and Integral Equation Solver for Large Scale Electromagnetic Design and Simulation

HFSS Hybrid Finite Element and Integral Equation Solver for Large Scale Electromagnetic Design and Simulation HFSS Hybrid Finite Element and Integral Equation Solver for Large Scale Electromagnetic Design and Simulation Laila Salman, PhD Technical Services Specialist laila.salman@ansys.com 1 Agenda Overview of

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

GLAST. Prototype Tracker Tower Construction Status

GLAST. Prototype Tracker Tower Construction Status Prototype Tracker Tower Construction Status June 22, 1999 R.P. Johnson Santa Cruz Institute for Particle Physics University of California at Santa Cruz 1 1 11 2 3 5 4 Prototype Tracker Tower Configuration

More information

PI2EQX6874ZFE 4-lane SAS/SATA ReDriver Application Information

PI2EQX6874ZFE 4-lane SAS/SATA ReDriver Application Information Contents General Introduction How to use pin strap and I2C control External Components Requirement Layout Design Guide Power Supply Bypassing Power Supply Sequencing Equalization Setting Output Swing Setting

More information

Impact of Embedded Capacitance on Test Socket and Test Board Performance Michael Giesler, 3M, Alexander Barr, 3M Yoshihisa Kawate,

Impact of Embedded Capacitance on Test Socket and Test Board Performance Michael Giesler, 3M, Alexander Barr, 3M Yoshihisa Kawate, Impact of Embedded Capacitance on Test Socket and Test Board Performance Michael Giesler, 3M, msgiesler@mmm.com Alexander Barr, 3M Yoshihisa Kawate, Sumitomo-3M Yuichi Tsubaki, Sumitomo-3M Silicon Valley

More information

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1]

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1] Area Array Probe Card Interposer Raphael Robertazzi IBM Research 6/4/01 6/4/01 IBM RESEARCH Page [1] Motivation: Outline Probe Cards for Testing Complex ICs in the Developmental Stage. Hand Wired Space

More information

Design of Low Power Digital CMOS Comparator

Design of Low Power Digital CMOS Comparator Design of Low Power Digital CMOS Comparator 1 A. Ramesh, 2 A.N.P.S Gupta, 3 D.Raghava Reddy 1 Student of LSI&ES, 2 Assistant Professor, 3 Associate Professor E.C.E Department, Narasaraopeta Institute of

More information

LAB # 3 Wave Port Excitation Radiation Setup & Analysis

LAB # 3 Wave Port Excitation Radiation Setup & Analysis COMSATS Institute of Information Technology Electrical Engineering Department (Islamabad Campus) LAB # 3 Wave Port Excitation Radiation Setup & Analysis Designed by Syed Muzahir Abbas 1 WAVE PORT 1. New

More information

Understanding 3M Ultra Hard Metric (UHM) Connectors

Understanding 3M Ultra Hard Metric (UHM) Connectors 3M Electronic Solutions Division 3MUHMWEBID_100809 Understanding 3M Ultra Hard Metric (UHM) Connectors Enabling performance of next generation 2 mm Hard Metric systems 3M Electronic Solutions Division

More information

PI2EQX6804-ANJE Four-lane SAS/SATA ReDriver Application Information May 13, 2011

PI2EQX6804-ANJE Four-lane SAS/SATA ReDriver Application Information May 13, 2011 Contents General Introduction How to use pin strap and I2C control External Components Requirement Layout Design Guide Power Supply Bypassing Power Supply Sequencing Equalization Setting Output Swing Setting

More information

Revolutionary High Performance Interconnect Which Maximizes Signal Density

Revolutionary High Performance Interconnect Which Maximizes Signal Density Revolutionary High Performance Interconnect Which Maximizes Signal Density Tom Cohen and Gautam Patel Teradyne Connection Systems 44 Simon St. Nashua, New Hampshire 03060 Phone: 603-791-3383, 603-791-3164

More information

Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products

Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products Chip Scale Package and Multichip Module Impact on Substrate Requirements for Portable Wireless Products Tom Swirbel Motorola, Inc. 8000 W. Sunrise Blvd. Plantation, Florida Phone: 954-7-567 Fax: 954-7-5440

More information

Workshop 3: Basic Electrostatic Analysis. ANSYS Maxwell 2D V ANSYS, Inc. May 21, Release 14.5

Workshop 3: Basic Electrostatic Analysis. ANSYS Maxwell 2D V ANSYS, Inc. May 21, Release 14.5 Workshop 3: Basic Electrostatic Analysis ANSYS Maxwell 2D V16 2013 ANSYS, Inc. May 21, 2013 1 Release 14.5 About Workshop Introduction on the Electrostatic Solver This workshop introduces the Electro Static

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) or LVDS input pairs

More information

Use of RF Absorbing Materials for EMI Control

Use of RF Absorbing Materials for EMI Control Use of RF Absorbing Materials for EMI Control May 24, 2010 Bruce Archambeault Eric Chikando Sam Connor 1 PART-1 Application of Lossy Materials: - Metal enclosure - 2 Metal box photos 3 Metal box high order

More information

Multi-Drop LVDS with Virtex-E FPGAs

Multi-Drop LVDS with Virtex-E FPGAs Multi-Drop LVDS with Virtex-E FPGAs XAPP231 (Version 1.0) September 23, 1999 Application Note: Jon Brunetti & Brian Von Herzen Summary Introduction Multi-Drop LVDS Circuits This application note describes

More information

Modeling the Transmission Loss of Passthroughs in Sound Package using Foam Finite Elements

Modeling the Transmission Loss of Passthroughs in Sound Package using Foam Finite Elements Proceedings of 20 th International Congress on Acoustics, ICA 2010 23-27 August 2010, Sydney, Australia Modeling the Transmission Loss of Passthroughs in Sound Package using Foam Finite Elements Sascha

More information

10/100 Application Note General PCB Design and Layout Guidelines AN111

10/100 Application Note General PCB Design and Layout Guidelines AN111 10/100 Application Note General PCB Design and Layout Guidelines AN111 Introduction This application note provides recommended guidelines in designing a product that complies with both EMI and ESD standards

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) input pairs and

More information

Designing the Right Ethernet Interconnect to Increase High-Speed Data Transmission in Military Aircraft. White Paper

Designing the Right Ethernet Interconnect to Increase High-Speed Data Transmission in Military Aircraft. White Paper Designing the Right Ethernet Interconnect to Increase High-Speed Data Transmission in Military Aircraft White Paper May 216 Abstract: Designing the right high-speed Interconnect that enables systems to

More information

Solving the challenges posed by Chip/Package/Board Co-Design

Solving the challenges posed by Chip/Package/Board Co-Design Solving the challenges posed by Chip/Package/Board Co-Design Identify and locate sources of unwanted coupling Simulation link to EM: Critical Interconnect, Vias, Discontinuities, Embedded Passives, etc

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

AN_8430_002 April 2011

AN_8430_002 April 2011 A Maxim Integrated Products Brand 78Q8430 10/100 Ethernet MAC and PHY APPLICATION NOTE AN_8430_002 April 2011 Introduction 78Q8430 Layout Guidelines The TSC 78Q8430 is a single chip 10Base-T/100Base-TX

More information

Interconnect Impedance Measurements, Signal Integrity Modeling, Model Validation, and Failure Analysis. IConnect TDR Software.

Interconnect Impedance Measurements, Signal Integrity Modeling, Model Validation, and Failure Analysis. IConnect TDR Software. Rev. 8/27/21 Interconnect Impedance Measurements, Signal Integrity Modeling, Model Validation, and Failure Analysis IConnect TDR Software TDA Systems, Inc. www.tdasystems.com Outline TDR Impedance Measurements

More information

Quilt Packaging Microchip Interconnect Technology

Quilt Packaging Microchip Interconnect Technology Quilt Packaging Microchip Interconnect Technology 18 November 2012 Jason M. Kulick President, Co-Founder Indiana Integrated Circuits, LLC Overview Introduction to IIC Quilt Packaging (QP) Concept Electrical

More information

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features DATASHEET 2 TO 4 DIFFERENTIAL CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the two differential

More information

An Edge-Based Approach to Motion Detection*

An Edge-Based Approach to Motion Detection* An Edge-Based Approach to Motion Detection* Angel D. Sappa and Fadi Dornaika Computer Vison Center Edifici O Campus UAB 08193 Barcelona, Spain {sappa, dornaika}@cvc.uab.es Abstract. This paper presents

More information

COMPEL 17,1/2/3. This work was supported by the Greek General Secretariat of Research and Technology through the PENED 94 research project.

COMPEL 17,1/2/3. This work was supported by the Greek General Secretariat of Research and Technology through the PENED 94 research project. 382 Non-destructive testing of layered structures using generalised radial basis function networks trained by the orthogonal least squares learning algorithm I.T. Rekanos, T.V. Yioultsis and T.D. Tsiboukis

More information

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation

A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation A Modular Platform for Accurate Multi- Gigabit Serial Channel Validation Presenter: Andrew Byers Ansoft Corporation High Performance Electronics: Technical Challenges Faster data rates in increasingly

More information

Circular High-Impedance Surfaces Characterization

Circular High-Impedance Surfaces Characterization Circular High-Impedance Surfaces Characterization Julien Sarrazin, Anne-Claire Lepage, Xavier Begaud To cite this version: Julien Sarrazin, Anne-Claire Lepage, Xavier Begaud. Circular High-Impedance Surfaces

More information

NEURAL networks have been recognized as useful alternatives

NEURAL networks have been recognized as useful alternatives IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010 145 High-Dimensional Neural-Network Technique and Applications to Microwave Filter Modeling Humayun Kabir, Ying Wang,

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 1-20-17 Any assistance, services, comments, information, or suggestions provided by Microchip (including without limitation any comments to the effect that the

More information

Using ADS to Post Process Simulated and Measured Models. Presented by Leon Wu March 19, 2012

Using ADS to Post Process Simulated and Measured Models. Presented by Leon Wu March 19, 2012 Using ADS to Post Process Simulated and Measured Models Presented by Leon Wu March 19, 2012 Presentation Outline Connector Models From Simulation Connector Models From Measurement The Post processing,

More information

Equivalent Circuits of the Transition from Coaxial to Microstrip Transmission Line on PCBs

Equivalent Circuits of the Transition from Coaxial to Microstrip Transmission Line on PCBs Equivalent Circuits of the Transition from Coaxial to Microstrip Transmission Line on PCBs Mikolaj Ambrozkiewicz Petr Lorenz Wilhelm Kraemer Rohde & Schwarz GmbH & Co. KG Overview l Motivation l Equivalent

More information

Signal Integrity in Embedded Computer Applications

Signal Integrity in Embedded Computer Applications Signal Integrity in Embedded Computer Applications "SI for Embedded" by EyeKnowHow 02.03.2010 1 Agenda 1) Introduction 2) Crosstalk 3) Inter Symbol Interference (ISI) 4) Power Integrity 5) Resources "SI

More information

I lllll llllllll Ill lllll lllll lllll lllll lllll

I lllll llllllll Ill lllll lllll lllll lllll lllll I lllll llllllll Ill lllll lllll lllll lllll lllll 111111111111111111111111111111111 US007895540B2 c12) United States Patent Engin et al. (IO) Patent No.: (45) Date of Patent: US 7,895,540 B2 Feb.22,2011

More information

EDA365. DesignCon Impact of Backplane Connector Pin Field on Trace Impedance and Vertical Field Crosstalk

EDA365. DesignCon Impact of Backplane Connector Pin Field on Trace Impedance and Vertical Field Crosstalk DesignCon 2007 Impact of Backplane Connector Pin Field on Trace Impedance and Vertical Field Crosstalk Ravi Kollipara, Rambus, Inc. ravik@rambus.com, (650) 947-5298 Ben Chia, Rambus, Inc. Dan Oh, Rambus,

More information

Estimation of Crosstalk among Multiple Stripline Traces Crossing a Split by Compressed Sensing

Estimation of Crosstalk among Multiple Stripline Traces Crossing a Split by Compressed Sensing Estimation of Crosstalk among Multiple Stripline Traces Crossing a Split by Compressed Sensing Tao Wang, Yiyu Shi, Songping Wu, and Jun Fan Department of Electrical and Computer Engineering, Missouri University

More information

Adaptive Linear Programming Decoding of Polar Codes

Adaptive Linear Programming Decoding of Polar Codes Adaptive Linear Programming Decoding of Polar Codes Veeresh Taranalli and Paul H. Siegel University of California, San Diego, La Jolla, CA 92093, USA Email: {vtaranalli, psiegel}@ucsd.edu Abstract Polar

More information

Clock Generator for PowerQUICC and PowerPC Microprocessors and

Clock Generator for PowerQUICC and PowerPC Microprocessors and Freescale Semiconductor Technical Data Clock Generator for PowerQUICC and PowerPC Microprocessors and Microcontrollers Clock Generator for PowerQUICC and PowerPC Microprocessors and DATA SHEET Rev 1, 11/2004

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

REV CHANGE DESCRIPTION NAME DATE. A Release B Increased +1.2V Capacitor Value & VDD12A Cap Requirement

REV CHANGE DESCRIPTION NAME DATE. A Release B Increased +1.2V Capacitor Value & VDD12A Cap Requirement REV CHANGE DESCRIPTION NAME DATE A Release 8-1-16 B Increased +1.2V Capacitor Value & VDD12A Cap Requirement 1-16-17 Any assistance, services, comments, information, or suggestions provided by Microchip

More information

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Electrical Interconnect and Packaging Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Jason Morsey Barry Rubin, Lijun Jiang, Lon Eisenberg, Alina Deutsch Introduction Fast

More information

HFSS Ansys ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary

HFSS Ansys ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary HFSS 12.0 Ansys 2009 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary Comparison of HFSS 11 and HFSS 12 for JSF Antenna Model UHF blade antenna on Joint Strike Fighter Inherent improvements in

More information

SPEED2000 Examples 1. Product Version 16.6 December 2012

SPEED2000 Examples 1. Product Version 16.6 December 2012 SPEED2000 Examples 1 Product Version 16.6 December 2012 2012 Cadence Design Systems, Inc. All rights reserved. Cadence Design Systems, Inc. (Cadence), 2655 Seely Ave., San Jose, CA 95134, USA. Open SystemC,

More information

A Chord-Based Novel Mobile Peer-to-Peer File Sharing Protocol

A Chord-Based Novel Mobile Peer-to-Peer File Sharing Protocol A Chord-Based Novel Mobile Peer-to-Peer File Sharing Protocol Min Li 1, Enhong Chen 1, and Phillip C-y Sheu 2 1 Department of Computer Science and Technology, University of Science and Technology of China,

More information

MPC9817ENR2. Clock Generator for PowerQUICC and PowerPC Microprocessors and Microcontrollers DATA SHEET NRND

MPC9817ENR2. Clock Generator for PowerQUICC and PowerPC Microprocessors and Microcontrollers DATA SHEET NRND Clock Generator for PowerQUICC and PowerPC Microprocessors and Microcontrollers MPC9817 DATA SHEET NRND The MPC9817 is a PLL-based clock generator specifically designed for Freescale Semiconductor Microprocessor

More information

1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7.

1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7. 1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7. Optical Discs 1 Introduction Electrical Considerations Data Transfer

More information

Design and Implementation of CVNS Based Low Power 64-Bit Adder

Design and Implementation of CVNS Based Low Power 64-Bit Adder Design and Implementation of CVNS Based Low Power 64-Bit Adder Ch.Vijay Kumar Department of ECE Embedded Systems & VLSI Design Vishakhapatnam, India Sri.Sagara Pandu Department of ECE Embedded Systems

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

Fault Tolerant Parallel Filters Based on ECC Codes

Fault Tolerant Parallel Filters Based on ECC Codes Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 597-605 Research India Publications http://www.ripublication.com Fault Tolerant Parallel Filters Based on

More information

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram s Features ÎÎPCIe 3.0 compliant à à Phase jitter - 0.45ps RMS (High Freq. Typ.) ÎÎLVDS compatible output ÎÎSupply voltage of 3.3V ±10% ÎÎ25MHz crystal or clock input frequency ÎÎHCSL outputs, 0.8V Current

More information

Achieving GHz Speed in Socketed BGA Devices

Achieving GHz Speed in Socketed BGA Devices IC INTERCONNECT TOPIC #102 Technical Information from Ironwood Electronics Achieving GHz Speed in Socketed BGA Devices Ila Pal Director of R&D Ironwood Electronics Background For many products designed

More information

Traffic Flow Prediction Based on the location of Big Data. Xijun Zhang, Zhanting Yuan

Traffic Flow Prediction Based on the location of Big Data. Xijun Zhang, Zhanting Yuan 5th International Conference on Civil Engineering and Transportation (ICCET 205) Traffic Flow Prediction Based on the location of Big Data Xijun Zhang, Zhanting Yuan Lanzhou Univ Technol, Coll Elect &

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

International Journal of Engineering & Technology IJET-IJENS Vol:14 No:01 80

International Journal of Engineering & Technology IJET-IJENS Vol:14 No:01 80 International Journal of Engineering & Technology IJET-IJENS Vol:14 No:01 80 Singularities Treatment in Solving Volume Electric Field Integral Equation over Tetrahedron Meshing Haythem H. Abdullah 1, Ahmed

More information

IP1001 LF DESIGN & LAYOUT GUIDELINES

IP1001 LF DESIGN & LAYOUT GUIDELINES Index 1 Purpose...2 2 Magnetic trace routing...2 3 Power Supply Plane & GND Plane...3 4 PHY interface...3 5 Trace routing & Placement...3 6 ESD protection...3 7 EMI Supression...3 1/7 April 17 2008. Ver:1.5

More information

AN4275 Application note

AN4275 Application note AN4275 Application note IEC 61000-4-5 standard overview Introduction The objective of this document is to briefly explain the IEC 61000-4-5 standards and to show the benefits of having a range of protection

More information

Simulation Advances. Antenna Applications

Simulation Advances. Antenna Applications Simulation Advances for RF, Microwave and Antenna Applications Presented by Martin Vogel, PhD Application Engineer 1 Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition

More information

Design Guideline for TC1782 Microcontroller Board Layout

Design Guideline for TC1782 Microcontroller Board Layout TC1782 AP32145 Application Note V1.4 2012-02 Microcontrollers Edition 2012-02 Published by Infineon Technologies AG 81726 Munich, Germany 2012 Infineon Technologies AG All Rights Reserved. LEGAL DISCLAIMER

More information

IDT PEB383 QFP Board Design Guidelines

IDT PEB383 QFP Board Design Guidelines IDT PEB383 QFP Board Design Guidelines February 2010 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009 GENERAL

More information