Objectives: 1- Bolean Algebra. Eng. Ayman Metwali

Size: px
Start display at page:

Download "Objectives: 1- Bolean Algebra. Eng. Ayman Metwali"

Transcription

1

2 Objectives: Chapter 3 : 1- Boolean Algebra Boolean Expressions Boolean Identities Simplification of Boolean Expressions Complements Representing Boolean Functions 2- Logic gates 3- Digital Components 4- Combinational circuits 5- Sequential circuits 1- Bolean Algebra Boolean algebra is an algebra for the manipulation of objects that can take on only two values, typically true and false Boolean variable: it is a variable that can take only two values : 0 (false) or 1 (true) Example: x, y, z, Where, for instance, x could be 0 or 1 Boolean expressions: Combination of Boolean variables and operators (AND, OR, NOT, ) Example: x AND y, x OR y, Boolean function: typically has one or more input values and yields a result, based on these input values, in the range {0,1} Example: F(x, y, z) = (x AND y) OR z Three common Boolean operators AND, OR and NOT In Boolean expression/arithmetic (a AND b) is expressed as a Boolean product: a.b or simply ab (a OR b) is expressed as a Boolean sum: a+b (NOT a) is expressed as: aa 1

3 Truth Table A Boolean operator can be completely described using a truth table that lists: The inputs, All possible values for these inputs, The resulting values of the operation for all possible combinations of three inputs Boolean function can also be described using a truth table The following rules of precedence should be respected Parentheses first NOT next AND next OR finally 2

4 Draw the truth table to show all possible outputs of the following Boolean function: F(x,y,z) = x +yy z Sol. Logically we should calculate y, y z and then x + y z The truth table for F(x,y,z) is: (MID-TERM Make Up Fall 2011) Show that x = xy + xy Using truth tables Sol. (MOCK MTA 2012) drawing the truth table of F(x,y,z) = x +z. Sol. 3

5 Boolean Identities Frequently, a Boolean expression is not in its simplest form Recall from algebra the expression 2x + 6x can be simplified to 8x Boolean expressions can also be simplified We need new identities, or laws, that apply to Boolean algebra instead of regular algebra These laws are grouped in the following table Simplification of Boolean Expressions Simplify the function F(x,y,z) = xyz + xyz + xz Using Identities: The simplest form for F(x,y,z) is F(x,y,z) = F(x,y,z) = xyz + xyz + xz = xyz + xz = xz(y + 1) = xz(1) = xz (idempotent) (Distributive) (Null) (Identity) 4

6 Exercises Simplify the following functional expressions using Boolean Algebra a. xy + xy' b. F(x,y,z) = x'y + xyz' + xyz c. F(w,x,y,z) = (xy' + w'z)(wx' + yz') ans. a. xy + x'y = x(y + y') = x(1) = x b. x'y + xyz' + xyz = x'y + xy(z' + z) = x'y + xy(1) = x'y + xy = (x' + x)y = (1)y = y c. (xy' + w'z)(wx' + yz') = xy'wx' + xy'yz' + w'zwx' + w'zyz' = (xx')y'w + (y'y)xz' + (w'w)zx' + (zz')w'y = (0)y'w + (0)xz' + (0)zx' + 0(w'y) = = 0 (MTA - 2nd Semester 2012/2013) Use Boolean algebra and Boolean identities to prove that F1 is really a simplified version of F (found in part a). a bc + a bc + c = a b + c a bc + a bc + c =a b(c+c ) + c = a b + c (you should use identities) (Final 1st Semester 2011) Simplify the following expressions in sum of products: x z +y z +yz +xy Sol. x z +z (y +y)+xy = x z +z +xy= z (x+1)+xy TMA Spring

7 Using the basic identities of Boolean algebra, show that: x + x' y = x + y. Ans. x + x'y = x(y + y') + x'y = xy + xy' + x'y = xy + xy' +x'y +xy = x(y + y') + y(x' + x) = x(1) + y(1) = x + y Simplify the following functional expressions using Boolean Algebra xy'z + x'y'z + xyz Ans. = (xy'z + xy'z) + x'y'z + xyz = (xy'z + x'y'z) + (xy'z + xyz) = (x + x')y'z + (y' + y)xz = y'z + xz Using the basic identities of Boolean algebra, show that: xy + x'z + yz = xy + x' z Ans. xy + x'z + yz = xy + x'z + (1)yz = xy + x'z + (x + x')yz = xy + x'z + xyz + x'yz = (xy + xyz) + (x'z + x'yz) = xy(1 + z)+x'z(1 + y) = xy + x'z (MOCK MTA 2012, TMA Spring 2013) Use Boolean identities and Boolean algebra to simplify F to its simplest form. Show your simplification steps clearly x y z + xyz + xy z + x yz + x y z + x yz. Sol. x y z + xyz + xy z + x yz + x y z + x yz =( x y z + x y z) + (x yz + x yz ) + (xyz + xy z) = x y + x y + xz = (x y + x y) + xz = x + xz = x (1+z) + xz = x + x z + xz = x +z (Final Exam 1st Semester 2012/2013) Use Boolean algebra and identities to simplify F to its simplest form. x y z + x y+xy z + x y z+ x yz + y - The equality between two Boolean expressions can also be proved by drawing and comparing their truth tables. - Exercise: prove the following equality by drawing the truth tables of its Boolean Expressions: (x + y)(x + y) = y 6

8 Complements Quite often, it is cheaper and less complicated to implement the complement of a fuction rather than the function itself To find the compelmet of a Boolean function, we use DeMorgan's Law xx. yy = xx + yy xx = + yy xx. yy The complement of a function F is expressed as F Example1: Find the complement F of the function, Use truth table to prove your solution: F(x,y) = x+y F(x,y) = x.y Sol. F(x, y) = x + y = x y (OR form of the DeMorgans Law) F(x,y) = x. y = x + y (AND form of the DeMorgans Law) Example: Find the complement F of the function F(x,y,z) = x+y+z Solution: F(x, y, z) = x + y + z = (x + y) + z =(x + y) z = (x y )z = x y z So xx + yy + zz = xx yy zz Applying the principle of duality, we see that xyz = xx + yy + zz 7

9 We can clearly see that to find the complement of a Boolean expression We simply replace each variable by its complement (x is replaced by x) And interchange ANDs and ORs Example: Find the complement of x + yz First Step: Replacing Variable - x is replaced by x - y is replaced by y - zz is replaced by z Second Step: Replacing Operands - The "+" between x and yz is preplaced by "." - The "." between y and z is replaced by "+" The result is : x + yz = x(y + z) Example: Prove that the complement of x + yz is x(x + y) Using truth Table. 8

10 (MTA 2nd Semester 2012/2013) If F1 is the simplified version of F and F1 = c. (a + b ), what would be the Boolean expression of F1? F1 = F1 = c. (a + b ) = c + a b (MTA 3 2nd Semester 2012/2013) (MTA (Makeup)1st Semester 2013/2014) Consider the following Boolean function: F(a,b,c)=a b c + ab. a. Use DeMorgan s law, other Boolean identities and Boolean algebra to find the complement F of the function F. You should also represent F in its simplest sum of products form. (5 Marks) F(a, b, c) = a b c + ab = a b c. ab = (a + b + c). (a + b) (2 Mark) = aa + ab + ba + bb + ca + cb = 0 + ab + ba + b + ca + cb = b + ca (MTA 1 1st Semester 2013/2014) Consider the following Boolean function: F(a,b,c) = (a +c).(a+b +c) a. Find the complement function F of F. (1 Mark) The student can use the initial F expression or the simplest expression he/she calculated in a. Method 1: F(a, b, c) = (a + c). (a + b + c) = (a + c) + (a + b + c) = ac + a bc No need for further simplifications F(a, b, c) = c + a b = c. (a + b) = ac + bc (FINAL - 2nd Semester 2012/2013) 9

11 (TMA 2013) Q. Using DeMorgan's Law, write an expression for the complement of F if F(x,y,z) = x(y' + z). Ans. F(x,y,z) = x(y' + z) F'(x,y,z) = (x(y' + z))' = x'+(y' + z)' = x' + yz' Q. Simplify the following functional expressions using Boolean algebra and its identities. List the identity used at each step (xx + yy) (xx + yy ) = (x + y) (x y ) = (x y ) (x y ) = (x y ) (xy) = (x x)(yy ) = (0)(0) = 0 DeMorgan DeMorgan Double Complement Associative Inverse Idempotent (x + y) (x + y ) = (x + y) + (x y ) = (x y ) + (x y ) = x y + xy DeMorgan DeMorgan Double Complement Given the Boolean function: F(x,y,z)=x' y + xyz' a. Derive an algebraic expression for the complement of F. Express in sum-of-products form. a. (x'y + xyz')' = xy' + xz + x'y' + y' + y'z (not simplified) 10

12 To help eliminate potential confusion, logic canonical, or standardized, form of Boolean functions are used: The sum-of-products The product-of-sums The sum of products: consitst of ANDed variables (or product terms) that Ored together. Example: f(x,y,z) = xy + yz + xyz The product of sums: consist of ORed variables (sum terms) that are ANDed together Example: f(x,y,z) = (x + y)(x + z )(y + z ) Note, We will study Sum of Products Any Boolean expression can be represented in sum-of-products form. Any Boolean expression can also be represented as a truth table So any truth table can also be represented in sum-of-products form How to generate a sum of product expression using truth table For any Boolean expression? 1- Search for the lines where the function outputs a "1" 2- Fir each of these lines, generate a product term of the input variables a. If a (for instance "x") variable is set to 1, take it as it is ("x") b. If a variable (for instance "y") is set to 0, take its complement ("y ") 3- Sum these products. Example: Give the sum of products form of the following truth table: F(x,y,z) = xx yz + xyy z + xyzz + xyz 11

13 Logic Gates The logical operators, functions and expressions have been represented thus far in an abstract sense What is a Gate? It is a group of physical components, or digital circuits, that perform arithmetic operations or make choices in a computer. A gate is a small, electronic device that computes various functions of two-valued signals (or more) Each gate requires from one to six or more transistors If the basic physical component of a computer is the transistor; the basic logic element is the gate 12

14 (MTA 2nd Semester 2012/2013) Draw the combinational circuit that directly implements the Boolean expression: F(x,y,z)= xz + (xy + z') Give the Boolean expression of the following logical diagram s output function F(a,b,c). Sol. F = a bc + a bc + c (MTA- 1 st Semester 2013/2014) Draw a logical diagram for the following function: F 1 =abc + (b c ) Hint: Keep F 1 s expression intact. Do NOT simplify. 13

15 Find the output function F 2 of the following logical diagram. Hint: Copy the logical diagram to your answer sheet and fill the empty boxes. Final 2nd Semester 2012/2013 (FALL 2011 MTA MOCK MTA Final Summer 2011) Give the Boolean expression of the following logical diagram s output function. (A + B) (C + D)C 14

16 Universal Gates The NAND gate is commonly referred to as a universal gate Any electronic circuit can be constructed using only NAND gates (MTA -Fall-2012) Construct an AND gate using only NAND gates. Put labels on your logical circuit to explain how you have obtained the output xy from the inputs x and y. SOL. 15

17 (Final 1st Semester 2012/2013 ) Consider the function: F(x,y,z) = xyzz. Use only two-inputs gates to draw the logical diagram of F. Draw a second logical diagram that uses only two-inputs NAND gates. (MTA 2nd Semester 2012/2013) Construct the XOR operator using only NAND gates. Hint: x XOR y = ((x ' y)' (xy' )' )' Use only NAND gates to draw the logical diagram of the simplified F= c + b 16

18 Why not simply use the AND, OR, and NOT gates we already know exist? For two reasons: - NAND gates are cheaper to build than the other gates - complex integrated circuits are often much easier to build using the same building Applying the duality principle, NOR is also a universal gate In practice, NAND are used for implementing an expression in sum ofproducts form In practice, NOR is used for implementing an expression in product-of-sums form Gates could have multiple inputs: Also, sometimes it is useful to depict the output of a gat as Q along with its Complement Q 17

19 Digital Components Every computer is built using collections of gates that are all connected by way of wires These collections of gates are often quite standard, resulting in a set of building blocks These building blocks are all constructed using the basic AND, OR, and NOT operations. building blocks could be: - Combinational logic - Sequential logic Any Boolean expression can be represented as a Logical Digram Logical Diagram is a combinations of AND, OR, and NOT gates that describes a Boolean expression. Example: F(x,y,z) = x + y z Gates are sold in units called integrated circuits (ICs) First ICs were SSI (small scale integration See Lecture 1) chips and contained very few transistors (up to 100 transistors) We now have ULSI (ultra large-scale integration) with more than 1 million electronic components per chip 18

20 Combinational circuits - Adder (half adder, full adder, ripple carry adder) - Decoder - Multiplexer Combinational logic is used to build circuits that contain basic Boolean operators, inputs, and outputs. Half Adder A half-adder is a very simple combinational circuit Consider the problem of adding two binary digits together, three cases are possible: = = = = 10 (the result is 0 with a carry of 1 ) We have two inputs (the bits to add) and two outputs (the sum and the carry ) Drawing the truth table lead us to the Boolean function of a half-adder Note that each output has a Boolean Function 19

21 Full Adder A half adder could be extended to a circuit that allows the addition of larger binary numbers: A full adder Remember how we added binary numbers? We add each column without forgetting the carry from the nearest right column A full adder have three inputs: The two bits to add (x and y) The carry from the nearest right column (carry-in) A full adder has two outputs (the sum and the carry ) Think of how this logic diagram is obtained. Note that a full-adder is composed of two half-adders and an OR gate. ripple-carry adder A full-adder can only add two bits and a carry (three bits) The simplest way to add large binary numbers is to use a ripple-carry adder A ripple-carry is a succession of full-adders but it is slow Faster methods are nowadays implemented in computers (40% to 90% faster than the ripplecarry adder) (MOCK MTA- October 2012) Draw the logic diagram of a full adder. 20

22 Decoder A decoder decodes binary information from a set of n inputs to a maximum of 2 n outputs A decoder uses the inputs and their respective values to select one specific output line For a given input, only one output is set to 1 and all others are set to 0 Example: Chip selection application: Selecting one of several memory chips for a given address in a decoder 21

23 Multiplexer A multiplexer selects binary information from one of many input lines and directs it to a single output line. Selection of a particular input line is controlled by a set of selection variables or control lines 22

24 A 4-to-1 multiplexer We have 4=2 2 input lines To select one of the 4 inputs we need 2 selection bits: S 0, S 1 A 8-to-1 multiplexer We have 8=2 3 input lines To select one of the 8 inputs we need 3 selection bits: S 0, S 1, S 2 A 16-to-1 multiplexer? A 64-to-1 multiplexer? 23

25 Questions: (MTA 1st Semester 2012/2013) a) Copy the following 2-to-4 decoder to your answer sheet with the outputs fulfilled to-4 1 decoder2-to- 4 decoder 2 3 b) Consider the following 4-to-1 multiplexer. What are the possible values of the control lines S 0 S 1 in binary? I 0 1 I 1 4-to-1 1 multiplexer I I 3 S 1 S 0 S 1 S 0 = 01 or S 1 S 0 = 10 (2x0.5 = 1 Mark) (MTA 1st Semester 2013/2014) 1. List three examples of combinational circuits and define only one of them. Examples: Adder, Decoder, Multiplexer (1.5 Mark) One correct definition is enough (1 Mark) Adder: Used to add binary numbers. Decoder: decodes binary information from a set of n inputs to a maximum of 2 n outputs. Multiplexer: selects binary information from one of many input lines and directs it to a single output line. (MTA 2nd Semester 2012/2013) a) Copy the following 2-to-4 decoder to your answer sheet with the inputs fulfilled. 24

26 More Questions: a) half adder b) ripple carry adder c) full adder d) None of the above Describe how each of the following circuits works and indicate typical inputs and outputs. Also provide a carefully labeled "black box" diagram for each. a) Decoder b) Multiplexer Ans. a. A decoder takes n inputs and uses those inputs to select exactly one of (typically) 2n outputs. b. A multiplexer uses n control lines to select one of its input lines to route through to the output. 25

Chapter 3. Boolean Algebra and Digital Logic

Chapter 3. Boolean Algebra and Digital Logic Chapter 3 Boolean Algebra and Digital Logic Chapter 3 Objectives Understand the relationship between Boolean logic and digital computer circuits. Learn how to design simple logic circuits. Understand how

More information

IT 201 Digital System Design Module II Notes

IT 201 Digital System Design Module II Notes IT 201 Digital System Design Module II Notes BOOLEAN OPERATIONS AND EXPRESSIONS Variable, complement, and literal are terms used in Boolean algebra. A variable is a symbol used to represent a logical quantity.

More information

BOOLEAN ALGEBRA. 1. State & Verify Laws by using :

BOOLEAN ALGEBRA. 1. State & Verify Laws by using : BOOLEAN ALGEBRA. State & Verify Laws by using :. State and algebraically verify Absorption Laws. (2) Absorption law states that (i) X + XY = X and (ii) X(X + Y) = X (i) X + XY = X LHS = X + XY = X( + Y)

More information

Boolean Algebra and Logic Gates

Boolean Algebra and Logic Gates Boolean Algebra and Logic Gates Binary logic is used in all of today's digital computers and devices Cost of the circuits is an important factor Finding simpler and cheaper but equivalent circuits can

More information

Henry Lin, Department of Electrical and Computer Engineering, California State University, Bakersfield Lecture 7 (Digital Logic) July 24 th, 2012

Henry Lin, Department of Electrical and Computer Engineering, California State University, Bakersfield Lecture 7 (Digital Logic) July 24 th, 2012 Henry Lin, Department of Electrical and Computer Engineering, California State University, Bakersfield Lecture 7 (Digital Logic) July 24 th, 2012 1 Digital vs Analog Digital signals are binary; analog

More information

Binary logic. Dr.Abu-Arqoub

Binary logic. Dr.Abu-Arqoub Binary logic Binary logic deals with variables like (a, b, c,, x, y) that take on two discrete values (, ) and with operations that assume logic meaning ( AND, OR, NOT) Truth table is a table of all possible

More information

Chapter 2 Boolean algebra and Logic Gates

Chapter 2 Boolean algebra and Logic Gates Chapter 2 Boolean algebra and Logic Gates 2. Introduction In working with logic relations in digital form, we need a set of rules for symbolic manipulation which will enable us to simplify complex expressions

More information

QUESTION BANK FOR TEST

QUESTION BANK FOR TEST CSCI 2121 Computer Organization and Assembly Language PRACTICE QUESTION BANK FOR TEST 1 Note: This represents a sample set. Please study all the topics from the lecture notes. Question 1. Multiple Choice

More information

Menu. Algebraic Simplification - Boolean Algebra EEL3701 EEL3701. MSOP, MPOS, Simplification

Menu. Algebraic Simplification - Boolean Algebra EEL3701 EEL3701. MSOP, MPOS, Simplification Menu Minterms & Maxterms SOP & POS MSOP & MPOS Simplification using the theorems/laws/axioms Look into my... 1 Definitions (Review) Algebraic Simplification - Boolean Algebra Minterms (written as m i ):

More information

X Y Z F=X+Y+Z

X Y Z F=X+Y+Z This circuit is used to obtain the compliment of a value. If X = 0, then X = 1. The truth table for NOT gate is : X X 0 1 1 0 2. OR gate : The OR gate has two or more input signals but only one output

More information

Unit-IV Boolean Algebra

Unit-IV Boolean Algebra Unit-IV Boolean Algebra Boolean Algebra Chapter: 08 Truth table: Truth table is a table, which represents all the possible values of logical variables/statements along with all the possible results of

More information

2.1 Binary Logic and Gates

2.1 Binary Logic and Gates 1 EED2003 Digital Design Presentation 2: Boolean Algebra Asst. Prof.Dr. Ahmet ÖZKURT Asst. Prof.Dr Hakkı T. YALAZAN Based on the Lecture Notes by Jaeyoung Choi choi@comp.ssu.ac.kr Fall 2000 2.1 Binary

More information

Philadelphia University Faculty of Information Technology Department of Computer Science. Computer Logic Design. By Dareen Hamoudeh.

Philadelphia University Faculty of Information Technology Department of Computer Science. Computer Logic Design. By Dareen Hamoudeh. Philadelphia University Faculty of Information Technology Department of Computer Science Computer Logic Design By Dareen Hamoudeh Dareen Hamoudeh 1 Canonical Forms (Standard Forms of Expression) Minterms

More information

Circuit analysis summary

Circuit analysis summary Boolean Algebra Circuit analysis summary After finding the circuit inputs and outputs, you can come up with either an expression or a truth table to describe what the circuit does. You can easily convert

More information

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER Boolean Algebra BME28 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com 5 Boolean Algebra /2 A set of elements B There exist at least two elements x, y B s. t. x y Binary operators: +

More information

Experiment 3: Logic Simplification

Experiment 3: Logic Simplification Module: Logic Design Name:... University no:.. Group no:. Lab Partner Name: Mr. Mohamed El-Saied Experiment : Logic Simplification Objective: How to implement and verify the operation of the logical functions

More information

Computer Science. Unit-4: Introduction to Boolean Algebra

Computer Science. Unit-4: Introduction to Boolean Algebra Unit-4: Introduction to Boolean Algebra Learning Objective At the end of the chapter students will: Learn Fundamental concepts and basic laws of Boolean algebra. Learn about Boolean expression and will

More information

SYNERGY INSTITUTE OF ENGINEERING & TECHNOLOGY,DHENKANAL LECTURE NOTES ON DIGITAL ELECTRONICS CIRCUIT(SUBJECT CODE:PCEC4202)

SYNERGY INSTITUTE OF ENGINEERING & TECHNOLOGY,DHENKANAL LECTURE NOTES ON DIGITAL ELECTRONICS CIRCUIT(SUBJECT CODE:PCEC4202) Lecture No:5 Boolean Expressions and Definitions Boolean Algebra Boolean Algebra is used to analyze and simplify the digital (logic) circuits. It uses only the binary numbers i.e. 0 and 1. It is also called

More information

BOOLEAN ALGEBRA. Logic circuit: 1. From logic circuit to Boolean expression. Derive the Boolean expression for the following circuits.

BOOLEAN ALGEBRA. Logic circuit: 1. From logic circuit to Boolean expression. Derive the Boolean expression for the following circuits. COURSE / CODE DIGITAL SYSTEMS FUNDAMENTAL (ECE 421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE 422) BOOLEAN ALGEBRA Boolean Logic Boolean logic is a complete system for logical operations. It is used in countless

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 2 Intro to Electrical and Computer Engineering Lecture 5 Boolean Algebra Overview Logic functions with s and s Building digital circuitry Truth tables Logic symbols and waveforms Boolean algebra

More information

Simplification of Boolean Functions

Simplification of Boolean Functions Simplification of Boolean Functions Contents: Why simplification? The Map Method Two, Three, Four and Five variable Maps. Simplification of two, three, four and five variable Boolean function by Map method.

More information

Arab Open University. Computer Organization and Architecture - T103

Arab Open University. Computer Organization and Architecture - T103 Arab Open University Computer Organization and Architecture - T103 Reference Book: Linda Null, Julia Lobur, The essentials of Computer Organization and Architecture, Jones & Bartlett, Third Edition, 2012.

More information

Experiment 4 Boolean Functions Implementation

Experiment 4 Boolean Functions Implementation Experiment 4 Boolean Functions Implementation Introduction: Generally you will find that the basic logic functions AND, OR, NAND, NOR, and NOT are not sufficient to implement complex digital logic functions.

More information

Combinational Logic & Circuits

Combinational Logic & Circuits Week-I Combinational Logic & Circuits Spring' 232 - Logic Design Page Overview Binary logic operations and gates Switching algebra Algebraic Minimization Standard forms Karnaugh Map Minimization Other

More information

ENGINEERS ACADEMY. 7. Given Boolean theorem. (a) A B A C B C A B A C. (b) AB AC BC AB BC. (c) AB AC BC A B A C B C.

ENGINEERS ACADEMY. 7. Given Boolean theorem. (a) A B A C B C A B A C. (b) AB AC BC AB BC. (c) AB AC BC A B A C B C. Digital Electronics Boolean Function QUESTION BANK. The Boolean equation Y = C + C + C can be simplified to (a) (c) A (B + C) (b) AC (d) C. The Boolean equation Y = (A + B) (A + B) can be simplified to

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 3 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

COMPUTER ARCHITECTURE AND DIGITAL DESIGN

COMPUTER ARCHITECTURE AND DIGITAL DESIGN SPECIAL MAKEUP - FINAL EXAMINATION COMPUTER ARCHITECTURE AND DIGITAL DESIGN 03-60-265-01 S C H O O L O F C O M P U T E R S C I E N C E - U N I V E R S I T Y O F W I N D S O R Fall 2008 Last Name: First

More information

Introduction to Computer Architecture

Introduction to Computer Architecture Boolean Operators The Boolean operators AND and OR are binary infix operators (that is, they take two arguments, and the operator appears between them.) A AND B D OR E We will form Boolean Functions of

More information

1. Mark the correct statement(s)

1. Mark the correct statement(s) 1. Mark the correct statement(s) 1.1 A theorem in Boolean algebra: a) Can easily be proved by e.g. logic induction b) Is a logical statement that is assumed to be true, c) Can be contradicted by another

More information

2.6 BOOLEAN FUNCTIONS

2.6 BOOLEAN FUNCTIONS 2.6 BOOLEAN FUNCTIONS Binary variables have two values, either 0 or 1. A Boolean function is an expression formed with binary variables, the two binary operators AND and OR, one unary operator NOT, parentheses

More information

Introduction to Boolean logic and Logical Gates

Introduction to Boolean logic and Logical Gates Introduction to Boolean logic and Logical Gates Institute of Statistics Fall 2014 We saw the importance of the binary number system for data representation in a computer system. We ll see that the construction

More information

2008 The McGraw-Hill Companies, Inc. All rights reserved.

2008 The McGraw-Hill Companies, Inc. All rights reserved. 28 The McGraw-Hill Companies, Inc. All rights reserved. 28 The McGraw-Hill Companies, Inc. All rights reserved. All or Nothing Gate Boolean Expression: A B = Y Truth Table (ee next slide) or AB = Y 28

More information

Code No: 07A3EC03 Set No. 1

Code No: 07A3EC03 Set No. 1 Code No: 07A3EC03 Set No. 1 II B.Tech I Semester Regular Examinations, November 2008 SWITCHING THEORY AND LOGIC DESIGN ( Common to Electrical & Electronic Engineering, Electronics & Instrumentation Engineering,

More information

Assignment (3-6) Boolean Algebra and Logic Simplification - General Questions

Assignment (3-6) Boolean Algebra and Logic Simplification - General Questions Assignment (3-6) Boolean Algebra and Logic Simplification - General Questions 1. Convert the following SOP expression to an equivalent POS expression. 2. Determine the values of A, B, C, and D that make

More information

LECTURE 4. Logic Design

LECTURE 4. Logic Design LECTURE 4 Logic Design LOGIC DESIGN The language of the machine is binary that is, sequences of 1 s and 0 s. But why? At the hardware level, computers are streams of signals. These signals only have two

More information

UNIT 2 BOOLEAN ALGEBRA

UNIT 2 BOOLEAN ALGEBRA UNIT 2 BOOLEN LGEBR Spring 2 2 Contents Introduction Basic operations Boolean expressions and truth tables Theorems and laws Basic theorems Commutative, associative, and distributive laws Simplification

More information

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( )

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( ) 6. Combinational Circuits George Boole (85 864) Claude Shannon (96 2) Signals and Wires Digital signals Binary (or logical ) values: or, on or off, high or low voltage Wires. Propagate digital signals

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Supplementary Examinations, February 2007 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science

More information

Variable, Complement, and Literal are terms used in Boolean Algebra.

Variable, Complement, and Literal are terms used in Boolean Algebra. We have met gate logic and combination of gates. Another way of representing gate logic is through Boolean algebra, a way of algebraically representing logic gates. You should have already covered the

More information

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN B.Tech II Year I Semester () Regular Examinations December 2014 (Common to IT and CSE) (a) If 1010 2 + 10 2 = X 10, then X is ----- Write the first 9 decimal digits in base 3. (c) What is meant by don

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 3 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

LSN 4 Boolean Algebra & Logic Simplification. ECT 224 Digital Computer Fundamentals. Department of Engineering Technology

LSN 4 Boolean Algebra & Logic Simplification. ECT 224 Digital Computer Fundamentals. Department of Engineering Technology LSN 4 Boolean Algebra & Logic Simplification Department of Engineering Technology LSN 4 Key Terms Variable: a symbol used to represent a logic quantity Compliment: the inverse of a variable Literal: a

More information

Lecture (05) Boolean Algebra and Logic Gates

Lecture (05) Boolean Algebra and Logic Gates Lecture (05) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee ١ Minterms and Maxterms consider two binary variables x and y combined with an AND operation. Since eachv ariable may appear in either

More information

UNIT-4 BOOLEAN LOGIC. NOT Operator Operates on single variable. It gives the complement value of variable.

UNIT-4 BOOLEAN LOGIC. NOT Operator Operates on single variable. It gives the complement value of variable. UNIT-4 BOOLEAN LOGIC Boolean algebra is an algebra that deals with Boolean values((true and FALSE). Everyday we have to make logic decisions: Should I carry the book or not?, Should I watch TV or not?

More information

Spring 2010 CPE231 Digital Logic Section 1 Quiz 1-A. Convert the following numbers from the given base to the other three bases listed in the table:

Spring 2010 CPE231 Digital Logic Section 1 Quiz 1-A. Convert the following numbers from the given base to the other three bases listed in the table: Section 1 Quiz 1-A Convert the following numbers from the given base to the other three bases listed in the table: Decimal Binary Hexadecimal 1377.140625 10101100001.001001 561.24 454.3125 111000110.0101

More information

R.M.D. ENGINEERING COLLEGE R.S.M. Nagar, Kavaraipettai

R.M.D. ENGINEERING COLLEGE R.S.M. Nagar, Kavaraipettai L T P C R.M.D. ENGINEERING COLLEGE R.S.M. Nagar, Kavaraipettai- 601206 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC8392 UNIT - I 3 0 0 3 OBJECTIVES: To present the Digital fundamentals, Boolean

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 2: Boolean Algebra, Gate Network, and Combinational Blocks Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

Lecture 4: Implementation AND, OR, NOT Gates and Complement

Lecture 4: Implementation AND, OR, NOT Gates and Complement EE210: Switching Systems Lecture 4: Implementation AND, OR, NOT Gates and Complement Prof. YingLi Tian Feb. 13, 2018 Department of Electrical Engineering The City College of New York The City University

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R059210504 Set No. 1 II B.Tech I Semester Regular Examinations, November 2006 DIGITAL LOGIC DESIGN ( Common to Computer Science & Engineering, Information Technology and Computer Science & Systems

More information

Get Free notes at Module-I One s Complement: Complement all the bits.i.e. makes all 1s as 0s and all 0s as 1s Two s Complement: One s complement+1 SIGNED BINARY NUMBERS Positive integers (including zero)

More information

Gate Level Minimization

Gate Level Minimization Gate Level Minimization By Dr. M. Hebaishy Digital Logic Design Ch- Simplifying Boolean Equations Example : Y = AB + AB Example 2: = B (A + A) T8 = B () T5 = B T Y = A(AB + ABC) = A (AB ( + C ) ) T8 =

More information

CS470: Computer Architecture. AMD Quad Core

CS470: Computer Architecture. AMD Quad Core CS470: Computer Architecture Yashwant K. Malaiya, Professor malaiya@cs.colostate.edu AMD Quad Core 1 Architecture Layers Building blocks Gates, flip-flops Functional bocks: Combinational, Sequential Instruction

More information

60-265: Winter ANSWERS Exercise 4 Combinational Circuit Design

60-265: Winter ANSWERS Exercise 4 Combinational Circuit Design 60-265: Winter 2010 Computer Architecture I: Digital Design ANSWERS Exercise 4 Combinational Circuit Design Question 1. One-bit Comparator [ 1 mark ] Consider two 1-bit inputs, A and B. If we assume that

More information

2. BOOLEAN ALGEBRA 2.1 INTRODUCTION

2. BOOLEAN ALGEBRA 2.1 INTRODUCTION 2. BOOLEAN ALGEBRA 2.1 INTRODUCTION In the previous chapter, we introduced binary numbers and binary arithmetic. As you saw in binary arithmetic and in the handling of floating-point numbers, there is

More information

Bawar Abid Abdalla. Assistant Lecturer Software Engineering Department Koya University

Bawar Abid Abdalla. Assistant Lecturer Software Engineering Department Koya University Logic Design First Stage Lecture No.5 Boolean Algebra Bawar Abid Abdalla Assistant Lecturer Software Engineering Department Koya University Boolean Operations Laws of Boolean Algebra Rules of Boolean Algebra

More information

Boolean algebra. June 17, Howard Huang 1

Boolean algebra. June 17, Howard Huang 1 Boolean algebra Yesterday we talked about how analog voltages can represent the logical values true and false. We introduced the basic Boolean operations AND, OR and NOT, which can be implemented in hardware

More information

Summary. Boolean Addition

Summary. Boolean Addition Summary Boolean Addition In Boolean algebra, a variable is a symbol used to represent an action, a condition, or data. A single variable can only have a value of or 0. The complement represents the inverse

More information

Gate-Level Minimization

Gate-Level Minimization Gate-Level Minimization ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2011 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines The Map Method

More information

Standard Forms of Expression. Minterms and Maxterms

Standard Forms of Expression. Minterms and Maxterms Standard Forms of Expression Minterms and Maxterms Standard forms of expressions We can write expressions in many ways, but some ways are more useful than others A sum of products (SOP) expression contains:

More information

Philadelphia University Student Name: Student Number:

Philadelphia University Student Name: Student Number: Philadelphia University Student Name: Student Number: Faculty of Engineering Serial Number: Final Exam, First Semester: 2018/2019 Dept. of Computer Engineering Course Title: Logic Circuits Date: 03/01/2019

More information

Lecture (04) Boolean Algebra and Logic Gates

Lecture (04) Boolean Algebra and Logic Gates Lecture (4) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee ١ Dr. Ahmed ElShafee, ACU : Spring 26, Logic Design Boolean algebra properties basic assumptions and properties: Closure law A set S is

More information

Lecture (04) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee

Lecture (04) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee Lecture (4) Boolean Algebra and Logic Gates By: Dr. Ahmed ElShafee Boolean algebra properties basic assumptions and properties: Closure law A set S is closed with respect to a binary operator, for every

More information

Gate-Level Minimization. BME208 Logic Circuits Yalçın İŞLER

Gate-Level Minimization. BME208 Logic Circuits Yalçın İŞLER Gate-Level Minimization BME28 Logic Circuits Yalçın İŞLER islerya@yahoo.com http://me.islerya.com Complexity of Digital Circuits Directly related to the complexity of the algebraic expression we use to

More information

Digital Logic Lecture 7 Gate Level Minimization

Digital Logic Lecture 7 Gate Level Minimization Digital Logic Lecture 7 Gate Level Minimization By Ghada Al-Mashaqbeh The Hashemite University Computer Engineering Department Outline Introduction. K-map principles. Simplification using K-maps. Don t-care

More information

Dr. Chuck Cartledge. 10 June 2015

Dr. Chuck Cartledge. 10 June 2015 Miscellanea Exam #1 Break Exam review 2.1 2.2 2.3 2.4 Break 3 4 Conclusion References CSC-205 Computer Organization Lecture #003 Chapter 2, Sections 2.1 through 4 Dr. Chuck Cartledge 10 June 2015 1/30

More information

Chapter 2: Combinational Systems

Chapter 2: Combinational Systems Uchechukwu Ofoegbu Chapter 2: Combinational Systems Temple University Adapted from Alan Marcovitz s Introduction to Logic and Computer Design Riddle Four switches can be turned on or off. One is the switch

More information

CS February 17

CS February 17 Discrete Mathematics CS 26 February 7 Equal Boolean Functions Two Boolean functions F and G of degree n are equal iff for all (x n,..x n ) B, F (x,..x n ) = G (x,..x n ) Example: F(x,y,z) = x(y+z), G(x,y,z)

More information

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE)

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK (DESCRIPTIVE) Subject with Code : STLD(16EC402) Year & Sem: II-B.Tech & I-Sem Course & Branch: B.Tech

More information

Computer Organization

Computer Organization Computer Organization (Logic circuits design and minimization) KR Chowdhary Professor & Head Email: kr.chowdhary@gmail.com webpage: krchowdhary.com Department of Computer Science and Engineering MBM Engineering

More information

R10. II B. Tech I Semester, Supplementary Examinations, May

R10. II B. Tech I Semester, Supplementary Examinations, May SET - 1 1. a) Convert the following decimal numbers into an equivalent binary numbers. i) 53.625 ii) 4097.188 iii) 167 iv) 0.4475 b) Add the following numbers using 2 s complement method. i) -48 and +31

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization Overview Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard

More information

Gate-Level Minimization

Gate-Level Minimization MEC520 디지털공학 Gate-Level Minimization Jee-Hwan Ryu School of Mechanical Engineering Gate-Level Minimization-The Map Method Truth table is unique Many different algebraic expression Boolean expressions may

More information

Gate Level Minimization Map Method

Gate Level Minimization Map Method Gate Level Minimization Map Method Complexity of hardware implementation is directly related to the complexity of the algebraic expression Truth table representation of a function is unique Algebraically

More information

Chapter 2 Combinational

Chapter 2 Combinational Computer Engineering 1 (ECE290) Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization HOANG Trang 2008 Pearson Education, Inc. Overview Part 1 Gate Circuits and Boolean Equations Binary Logic

More information

Computer Organization and Levels of Abstraction

Computer Organization and Levels of Abstraction Computer Organization and Levels of Abstraction Announcements Today: PS 7 Lab 8: Sound Lab tonight bring machines and headphones! PA 7 Tomorrow: Lab 9 Friday: PS8 Today (Short) Floating point review Boolean

More information

Question Total Possible Test Score Total 100

Question Total Possible Test Score Total 100 Computer Engineering 2210 Final Name 11 problems, 100 points. Closed books, closed notes, no calculators. You would be wise to read all problems before beginning, note point values and difficulty of problems,

More information

INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR Stamp / Signature of the Invigilator

INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR Stamp / Signature of the Invigilator INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR Stamp / Signature of the Invigilator EXAMINATION ( Mid Semester ) SEMESTER ( Spring ) Roll Number Section Name Subject Number C S 2 1 0 0 2 Subject Name Switching

More information

NODIA AND COMPANY. GATE SOLVED PAPER Computer Science Engineering Digital Logic. Copyright By NODIA & COMPANY

NODIA AND COMPANY. GATE SOLVED PAPER Computer Science Engineering Digital Logic. Copyright By NODIA & COMPANY No part of this publication may be reproduced or distributed in any form or any means, electronic, mechanical, photocopying, or otherwise without the prior permission of the author. GATE SOLVED PAPER Computer

More information

Computer Engineering Chapter 3 Boolean Algebra

Computer Engineering Chapter 3 Boolean Algebra Computer Engineering Chapter 3 Boolean Algebra Hiroaki Kobayashi 5/30/2011 Ver. 06102011 5/30/2011 Computer Engineering 1 Agenda in Chapter 3 What is Boolean Algebra Basic Boolean/Logical Operations (Operators)

More information

Gate-Level Minimization

Gate-Level Minimization Gate-Level Minimization ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines The Map Method

More information

SWITCHING THEORY AND LOGIC CIRCUITS

SWITCHING THEORY AND LOGIC CIRCUITS SWITCHING THEORY AND LOGIC CIRCUITS COURSE OBJECTIVES. To understand the concepts and techniques associated with the number systems and codes 2. To understand the simplification methods (Boolean algebra

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 04. Boolean Expression Simplification and Implementation

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 04. Boolean Expression Simplification and Implementation Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 04 Boolean Expression Simplification and Implementation OBJECTIVES: To understand the utilization

More information

DSAS Laboratory no 4. Laboratory 4. Logic forms

DSAS Laboratory no 4. Laboratory 4. Logic forms Laboratory 4 Logic forms 4.1 Laboratory work goals Going from Boolean functions to Boolean forms. Logic forms equivalence. Boolean forms simplification. Shannon s theorems. Representation in NAND and NOR

More information

Combinational Logic Circuits

Combinational Logic Circuits Chapter 2 Combinational Logic Circuits J.J. Shann (Slightly trimmed by C.P. Chung) Chapter Overview 2-1 Binary Logic and Gates 2-2 Boolean Algebra 2-3 Standard Forms 2-4 Two-Level Circuit Optimization

More information

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( )

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( ) 6. Combinational Circuits George Boole (85 864) Claude Shannon (96 2) Digital signals Binary (or logical ) values: or, on or off, high or low voltage Wires. Propagate logical values from place to place.

More information

Digital logic fundamentals. Question Bank. Unit I

Digital logic fundamentals. Question Bank. Unit I Digital logic fundamentals Question Bank Subject Name : Digital Logic Fundamentals Subject code: CA102T Staff Name: R.Roseline Unit I 1. What is Number system? 2. Define binary logic. 3. Show how negative

More information

Chapter 2. Boolean Expressions:

Chapter 2. Boolean Expressions: Chapter 2 Boolean Expressions: A Boolean expression or a function is an expression which consists of binary variables joined by the Boolean connectives AND and OR along with NOT operation. Any Boolean

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 05 Boolean Logic 9/4-04. Seq. Circuit Behavior. Outline.

Review. EECS Components and Design Techniques for Digital Systems. Lec 05 Boolean Logic 9/4-04. Seq. Circuit Behavior. Outline. Review EECS 150 - Components and Design Techniques for Digital Systems Lec 05 Boolean Logic 94-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley Design flow

More information

Software Engineering 2DA4. Slides 2: Introduction to Logic Circuits

Software Engineering 2DA4. Slides 2: Introduction to Logic Circuits Software Engineering 2DA4 Slides 2: Introduction to Logic Circuits Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of Digital

More information

Injntu.com Injntu.com Injntu.com R16

Injntu.com Injntu.com Injntu.com R16 1. a) What are the three methods of obtaining the 2 s complement of a given binary (3M) number? b) What do you mean by K-map? Name it advantages and disadvantages. (3M) c) Distinguish between a half-adder

More information

Combinational Devices and Boolean Algebra

Combinational Devices and Boolean Algebra Combinational Devices and Boolean Algebra Silvina Hanono Wachman M.I.T. L02-1 6004.mit.edu Home: Announcements, course staff Course information: Lecture and recitation times and locations Course materials

More information

Combinational Logic Circuits

Combinational Logic Circuits Combinational Logic Circuits By Dr. M. Hebaishy Digital Logic Design Ch- Rem.!) Types of Logic Circuits Combinational Logic Memoryless Outputs determined by current values of inputs Sequential Logic Has

More information

01 Introduction to Digital Logic. ENGR 3410 Computer Architecture Mark L. Chang Fall 2008

01 Introduction to Digital Logic. ENGR 3410 Computer Architecture Mark L. Chang Fall 2008 Introduction to Digital Logic ENGR 34 Computer Architecture Mark L. Chang Fall 28 Acknowledgements Patterson & Hennessy: Book & Lecture Notes Patterson s 997 course notes (U.C. Berkeley CS 52, 997) Tom

More information

Boolean Logic CS.352.F12

Boolean Logic CS.352.F12 Boolean Logic CS.352.F12 Boolean Algebra Boolean Algebra Mathematical system used to manipulate logic equations. Boolean: deals with binary values (True/False, yes/no, on/off, 1/0) Algebra: set of operations

More information

Bawar Abid Abdalla. Assistant Lecturer Software Engineering Department Koya University

Bawar Abid Abdalla. Assistant Lecturer Software Engineering Department Koya University Logic Design First Stage Lecture No.6 Boolean Algebra Bawar Abid Abdalla Assistant Lecturer Software Engineering Department Koya University Outlines Boolean Operations Laws of Boolean Algebra Rules of

More information

Announcements. Chapter 2 - Part 1 1

Announcements. Chapter 2 - Part 1 1 Announcements If you haven t shown the grader your proof of prerequisite, please do so by 11:59 pm on 09/05/2018 (Wednesday). I will drop students that do not show us the prerequisite proof after this

More information

01 Introduction to Digital Logic. ENGR 3410 Computer Architecture Mark L. Chang Fall 2006

01 Introduction to Digital Logic. ENGR 3410 Computer Architecture Mark L. Chang Fall 2006 Introduction to Digital Logic ENGR 34 Computer Architecture Mark L. Chang Fall 26 Acknowledgements Patterson & Hennessy: Book & Lecture Notes Patterson s 997 course notes (U.C. Berkeley CS 52, 997) Tom

More information

Boolean Algebra. P1. The OR operation is closed for all x, y B x + y B

Boolean Algebra. P1. The OR operation is closed for all x, y B x + y B Boolean Algebra A Boolean Algebra is a mathematical system consisting of a set of elements B, two binary operations OR (+) and AND ( ), a unary operation NOT ('), an equality sign (=) to indicate equivalence

More information

Combinational Circuits Digital Logic (Materials taken primarily from:

Combinational Circuits Digital Logic (Materials taken primarily from: Combinational Circuits Digital Logic (Materials taken primarily from: http://www.facstaff.bucknell.edu/mastascu/elessonshtml/eeindex.html http://www.cs.princeton.edu/~cos126 ) Digital Systems What is a

More information