Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Size: px
Start display at page:

Download "Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography"

Transcription

1 Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy, Suite 301, Austin, TX Abstract Anti-reflection coatings are commonly used in advanced photolithography in order to minimize CD variability caused by deviations in resist thickness and in the films and structures comprising the substrate. For a planar film stack, reflectivity calculations are a critical tool for optimization of parameters such as coating thicknesses and optical properties of anti-reflection coatings (TARCs and BARCs). However, with the exception of the first lithography layer, all layers on a production wafer have some degree of topography, so that reflectivity calculations for a planar film stack are not strictly correct. In this study, we evaluate three different reflectivity metrics that can be applied to wafers with topography: reflectivity for simplified planar film stacks, standing wave amplitude, and reflected diffraction efficiencies. Each of these metrics has a simple, physical meaning that will be described in detail in the presentation. We then evaluate how well these reflectivity metrics correlate with CD variability for two different example lithography steps: implant layers with STI (where a developable BARC might be used), and Litho-Etch-Litho-Etch style double patterning. Introduction Anti-reflection coatings are typically used to make lithography processes more stable in the presence of process variations. The most common example is a CD swing curve, where reflections from the bottom surface of the resist film cause a sinusoidal variation in the printed CD as the resist thickness increases. A similar variation in the printed CD can also occur when the thickness of the layers under the resist change, due to a change in the reflectivity of the resist-substrate interface. However, anti-reflection coatings do more than simply alleviate swing effects they make the lithographic process as a whole more robust. The reason for this overall improvement is that the standing wave pattern caused by reflections competes with the contrast of the desired printed image. When the standing wave pattern is reduced or eliminated, imaging of the desired pattern is improved. The standard method for optimization of an anti-reflection coating is to calculate the reflectivity. For a bottom anti-reflection coating (BARC), one would calculate the reflectivity of the resistsubstrate interface, while for a top anti-reflection coating (TARC), one would calculate the reflectivity of the entire stack. One can then optimize the thickness of the anti-reflection coating by choosing an ARC thickness that minimizes the change in reflectivity in the presence of different process variations. For example, to optimize for variations in the thickness of an underlying layer, an optimal BARC thickness would minimize the change in the substrate reflectivity when the thickness of the underlayer deviates from its target thickness. Calculation of the reflectivity is straightforward and very fast for planar film stacks. However, every layer except the first on a wafer has some degree of topography, so it is desirable to be able to Advances in Resist Materials and Processing Technology XXVII, edited by Robert D. Allen, Mark H. Somervell, Proc. of SPIE Vol. 7639, SPIE CCC code: X/10/$18 doi: / Proc. of SPIE Vol

2 Figure 1: Experimental test structure for implant with STI test structure presented in reference 1. The CD of the isolated trench over isolated active region was measured more than 1000 times to create the histograms shown on the right for resist with TARC and dbarc. The most significant process variation was reported as the variation in oxide step height due to variations in CMP. perform a similar optimization for lithography process steps where the incoming wafer does not consist of a stack of planar films. Two examples that we will consider in this paper are implant layer with STI and double patterning with a hard mask (litho-etch-litho-etch). The STI process we will examine is similar to the one presented by Bailey et al. in reference 1, and shown in Figure 1. In their paper, Bailey et al. saw significant CD variability caused by variations in the oxide step height due to variations in the CMP process. They created a test structure that was an isolated trench printed over an isolated active region. This test structure was measured more than 1000 times to generate the histograms shown in the figure. This experiment was used to compare different antireflection strategies, such as TARC and developable BARC. The histograms shown in the figure demonstrate that the CD variability for the dbarc process (7.4 nm 3σ) were much smaller than the TARC process (21 nm 3σ). Experiments such as these are very useful because they provide a direct measurement of the CD variability that should be minimized by the anti-reflection strategy. Unfortunately, this experiment requires a very large number of measurements to build statistically significant histograms, and many of these experimental histograms would have to be generated in order to optimize dbarc thickness. A second example we will investigate is relevant to litho-etch-litho-etch type double patterning, where there will be an embedded hard mask structure during imaging of the second pass. A detailed Proc. of SPIE Vol

3 Figure 2: Double patterning test structure with resist lines printed at a constant pitch (130nm) over a grating structure with varying pitch, from reference 2. The grating was created by etching 40nm lines to a depth of 60nm into bare silicon. The grating was then coated with BARC with a spin coating process that would give a 26nm thickness on a flat wafer. The CD of the second patterning step varies as the pitch of the underlying topography is changed. (Figure is from reference 2.) Figure 3: Cross-section of the wafer after coating with BARC with a spin coat process that gives a 26nm thickness on a flat silicon wafer (top of figure). The approximate location of the grating structure is shown by the white lines drawn on the image. The BARC coating was found to be about 10 to 15nm thick over the top of the gratings, and around 26nm thick in the spaces between each grating. The approximate BARC top surface used in the simulations is shown at the bottom of the figure. (The cross-section figure is from reference 2.) Proc. of SPIE Vol

4 study of a test structure for this type of process was presented by Robertson et al. in reference 2. The test structure was created by etching 40nm lines into silicon on a varying pitch. The target etch depth for this grating structure was 60nm. Next, a BARC was coated over the silicon gratings with a spin coating process that gives a nominal thickness of 26nm on a flat silicon wafer. Cross-sections were performed to determine the shape of the BARC top surface, as shown in Figure 3. The BARCcoated wafer was coated with resist, and then imaging of 40nm lines on a 130nm pitch. Finally, the CDs were measured to give the response shown in Figure 2. Here we see two undesirable interactions between the printed resist lines and the pitch of the wafer topography. First, there is a significant dip in the CD around a wafer topography pitch of 150nm. Second, the top-down SEM images show variations in the line width, typically becoming smaller on top of the silicon lines and becoming larger halfway in-between. Again, it would be beneficial to optimize the film stack so that these interactions are minimized. The purpose of this investigation is to examine simulation methods that can help solve these problems by greatly reducing the number of required experiments. Ideally, there would be a reflectivity metric that can be applied to wafers with topography that is analogous to the classical reflectivity reported for wafers with planar film stacks. We will investigate three candidate metrics: reflectivity of simplified planar film stacks, standing wave amplitude, and reflected diffraction efficiencies. In Section 2 of this paper, we will define each of these metrics and explain their physical significance. We will then apply these metrics to the STI and the double patterning example problems in Section 3. Finally, in Section 4, we will give a summary of our findings and provide some conclusions. Reflectivity Metrics for Wafers with Topography The simplest reflectivity metric for a wafer with topography is to approximate the film stack as planar. For the STI example, we might simplify the actual topography into two stacks one typical of the oxide region, and a second typical of the active area, as shown in Figure 4. This approach is very appealing because it allows us to re-use the reflectivity metric that we use for planar film stacks. However, it is probably only useful far from the active area where scattering will influence the image in the resist and ultimately the printed CD. The second metric we will investigate is the standing wave amplitude. This metric was first used by Maaike Op de Beeck from IMEC [3] and later by Chris Mack [4]. The basic idea is to calculate the image in resist using the imaging conditions and mask that will be used for a target feature on the wafer. Next, the intensity data is extracted using a vertical slice at the nominal feature edge. If a standing wave is present, then the intensity plotted against depth into the resist will look like a swing curve. The standing wave amplitude metric is the amplitude of the swing divided by the average intensity in the slice, see Figure 5. One advantage of this metric is that the standing wave amplitude can be calculated at a specific location, so it can be used to examine the response of a specific, critical feature this is different from the standard reflectivity metric which is characteristic of the entire wafer and not localized at a specific location. One problem with this metric is that the standing wave amplitude may become very difficult to determine, or even meaningless, for very thin films where there is not an entire swing in the intensity. Proc. of SPIE Vol

5 Figure 4: Simplified planar film stacks for analysis of the STI example shown in Figure 1. For one simplified stack (top right) the wafer has an oxide layer with a thickness equal to the STI depth, and for the other film stack (bottom right) the wafer does not have any oxide. Both simplified film stack have resist and dbarc layers. Figure 5: Definition of the standing wave amplitude metric from an image in resist. A vertical slice through the image in resist is taken at the nominal feature edge, and then the amplitude of the swing is calculated. The standing wave amplitude is the ratio of the swing amplitude divided by the average intensity. This is analogous to the swing ratio for a CD swing curve. Proc. of SPIE Vol

6 The final metric we will investigate is the reflected diffraction efficiency. This metric is commonly used to describe gratings used in optical systems, and has also been applied to lithography by Shao et al [5]. Here we calculate the reflected, scattered waves from the topography for an open frame exposure. The diffraction efficiency is energy associated with each of these scattered waves. It is defined as: R cosθ i 2 R i = ρ i (1) cosθ Where ρ i is the fraction of the incident electric field that is reflected with an angle θ i. The relationship between the incident and reflected angles is given by: I λ0i sin θ i = sinθ incident (2) np The angles are numbered so that i = 0 corresponds to a reflected wave with the same angle as the incident wave. Reflected waves with a nonzero value of i are scattered waves. We report three different types of reflected diffraction efficiencies. First, we can sum all of the reflected diffraction efficiencies to give the total reflected energy, R All. Second, we can report only R 0, which is the reflectivity if you ignore the scattered waves, and finally, we can report only the scattered waves, R Scat = R All - R 0. Example Optimizations for STI and Double Patterning In the Introduction, we showed experimentally measured histograms from reference 1, for the implant with STI test structure. We generated similar histograms using PROLITH, as shown in Figure 6. Unfortunately, the precise settings for the test structure are not given in the IBM paper, so we used estimated values for the dimensions of the mask and topography structures. We started with simplified topography shown on the left side of Figure 4, with a resist thickness of 200nm, an STI depth of 350nm, and a target step height of 10nm. (This makes the total height of the oxide 360nm.) The width of the active area between STI structures was 100nm. We used the optical properties for Figure 6: PROLITH simulated histograms for the implant with STI test structure. The histogram on the left is without a dbarc and has 3σ = 19nm. The one on the right has 62nm dbarc with 3σ = 9.6nm. Proc. of SPIE Vol

7 Figure 7: Simulated CD variability as a function of dbarc thickness for two test structures the 180nm trench, which is similar to the structure in reference 1, and also for a 300nm trench. the resist and BARC given in the IBM paper, but otherwise the resist model parameters were simply chosen to be typical for an ArF photoresist. The imaging conditions were 180nm trenches on a 700nm pitch with a 6% attenuated PSM, combined with an NA of 0.75 and a partial coherence of 0.6. To generate the histograms, we assumed that the step height varied from the target height with a Gaussian-shaped probability with σ = 5nm. The simulated results in Figure 6 look similar to the experimental results shown in Figure 1, so this appears to be a reasonable set-up for our example calculation. We can now use these settings to generate a series of histograms, and plot the expected 3σ variation in the CD as a function of BARC thickness, as shown in Figure 7. As shown in the figure, there are a few sharp minima, around 20nm, 50nm, and 80nm, with the overall trend that variability decreases as the dbarc thickness increases. Also shown in the figure are results for a 300nm trench on a 700nm pitch, and we can see that there are also local minima in the CD variability, but the locations of these minima are at different dbarc thickness values. What this means is that we must choose between a dbarc thickness that is a general solution for both features and a dbarc thickness that optimizes for a specific feature. For example, a general solution might be a dbarc thickness larger than 45nm, because both features have less than 5nm 3σ variation. If we are only concerned with the 180nm structure, then we could choose a dbarc thickness of 20nm which would give about 3nm 3σ variation even though this is obviously not an optimal case for the 300nm structure. An ideal reflectivity metric would correlate well with the optimal dbarc thickness values found from the histograms. We start with the results for the simplified planar film stacks, as shown in Figure 8. We have calculated results for the simplified planar film stack with a homogeneous slab of Proc. of SPIE Vol

8 Figure 8: Reflectivity for simplified planar film stack and the histogram data for the implant with STI test structure. Reflectivity results are shown for oxide thicknesses of 355nm, 360nm, and 365nm. The arrows show dbarc thickness values where the reflectivity does not change much as the oxide thickness varies. Histogram data is shown for the 180nm and 300nm trench test structures. oxide, and we have varied the oxide thickness from 355nm to 365nm, which corresponds to the target thickness +/-5nm, which is the expected variation. At dbarc thickness values around 58nm and 81nm, the reflectivity is approximately constant as the oxide thickness changes these are potentially good operating points according to the reflectivity metric. Also shown in the figure is the histogram data, and we see that these two operating points correlate fairly well with the histograms. However, we cannot use the reflectivity of a simplified planar film stack to find the local minima for the 180nm trench or for the 300nm trench. This is because the reflectivity metric is a global metric (reflectivity of the entire wafer) instead of a localized metric. The next metric is the standing wave amplitude. Again, we plot the metric and the histogram data together to see if there is correlation between the standing wave amplitude metric and the CD variability (see Figure 9). Note that this is a localized reflectivity metric because the intensity is extracted at the feature edge, which will be in a different location for the 180nm and 300nm test structures. In addition, the calculation is performed with the 180nm or 300nm mask. From the figure, we see good correlation between the standing wave amplitude metric and the CD variability for the 180nm test structure. A correlation for the 300nm test structure is also present, but the correlation is not as good. It is important to notice that because this is a localized reflectivity metric, we get different results for the 180nm and 300nm test structures, and we are able to find local minima in the variability with this metric. The final test metric is the reflected diffraction efficiencies. Results are shown in Figure 10. On the left side of the figure, we see the different reflected diffraction efficiency metrics along with the reflectivity for a simplified planar film stack. Note that the diffraction efficiencies are a property of Proc. of SPIE Vol

9 Figure 9: Standing wave amplitude and 3σ CD variability for the 180nm and 300nm isolation with STI test structures. The arrows show dbarc thickness values where the standing wave amplitude does not vary much as the oxide thickness changes. the topography on the wafer and the incident angles from the illuminator, and are completely independent of the mask, so there are only a single set of results for both trench test structures. It is interesting that the curve for R All has a shape that is very similar to the reflectivity for a simplified planar stack, but that the first minima is shifted to thinner dbarcs. Also, R All never goes to zero, which indicates that the scattering from the STI structure is always present and never fully suppressed by the dbarc. The results on the right side of the figure show R All and R Scat compared with the 3σ CD variability. Again, we find that we cannot locate the local minima for each test structure because the diffraction efficiencies are independent of the mask, but the scattered diffraction efficiency appears to correlate well with the over all trend in the CD variability for both the 180nm and 300nm test structures. For this example, a scattered diffraction efficiency less than 3% to 4% gives CD variability less than 5nm 3σ. Figure 10: Reflected diffraction efficiencies and 3σ CD variability for the STI test structures. On the left, the reflectivity for the simplified film stack is shown for comparison, along with the sum of all reflected diffraction efficiencies, the zero order reflected diffraction efficiency, and the scattered diffraction efficiency. Comparison between different diffraction efficiency metrics and the 3σ CD variability is shown on the right. Proc. of SPIE Vol

10 Figure 11: Results for the double patterning test structure. Shown on the left is the reflected diffraction efficiency and CD variability versus BARC thickness for a grating pitch of 150nm. CD variability is CDmax CDmin, so it is a measure of the waviness of the printed line. Note that for the BARC thickness with the lowest CD variability, the BARC is approximately planarizing. Shown on the right is the CD versus topography pitch. The experimental data are identical to the results in Figure 2, but the simulated results are for a planarizing BARC. The final example is the double patterning test structure shown in Figure 2. For this test structure, we will optimize difference between CDmax and CDmin for a specific grating pitch value we would like the printed line to not be as wavy as it appears to be in Figure 2, so this will be similar to minimizing reflective notching. Because we are coating the BARC over a grating structure with a height of 60nm, we will need to use a model for the shape of the spin coated surface. A simple model has been implemented in PROLITH, where the inputs are interaction length and a minimum thickness. We chose an interaction length of 50nm, and a minimum thickness of 12nm which reflects the minimum thickness observed over the top of the grating in the experimental crosssections. A comparison between experimental cross-sections and the spin coat model is shown in Figure 3. Results are shown for a grating pitch of 150nm on the left side of Figure 11. Here we see that the scattered diffraction efficiency correlates well with the general trend in the CD variability, and that the variability is minimized for a BARC thickness of around 50nm. This BARC thickness corresponds to a spin coat surface that is almost planarizing. If we use a planarizing BARC, and we simulate the CD versus wafer topography pitch, we obtain the results shown on the right half of Figure 11. From these two results, we conclude that the planarizing BARC minimizes both the waviness of the line, and minimizes the variability in the CD vs. pitch interaction. This condition corresponds to a scattered diffraction efficiency of less than 1%. Summary and Conclusions We have analyzed three different reflectivity metrics that are suitable for wafers with topography. Reflectivity for simplified planar film stacks has the advantage that it can be calculated very quickly (less than 1 second), but it is a global metric that cannot capture any interaction between the topography and the printed feature. Also, it is a global metric, so it cannot differentiate between Proc. of SPIE Vol

11 different structures on the mask. Second, we examined the standing wave amplitude. This metric takes several minutes to calculate because the Maxwell equations must be solved at the wafer in order to predict the image in resist. This metric correlated fairly well with the CD variability data for the 180nm trench, and less well with the CD variability data for the 300nm trench. It is a local metric, so it is able to distinguish between different critical features on the wafer. Finally, we examined reflected diffraction efficiencies. This metric takes about the same amount of time to calculate as the standing wave amplitude, and we found reasonable correlation between the scattered part of the reflected waves and the CD variability. Like the reflectivity for simplified planar film stacks, reflected diffraction efficiencies are also a global metric, so they can only be used to track trends typical of all of the features on the wafer instead of finding a specific solution for a single critical feature. It seems that a combination of all three metrics might be useful, depending on the problem at hand. When scattering and reflective notching behavior is not important, reflectivity for simplified planar film stacks will probably give good results. Standing wave amplitude is a good local metric when scattering is important, and scattered reflected diffraction efficiencies is a good global metric. Once an optimization has been performed with these reflectivity metrics, it is worthwhile to do a more detailed analysis by simulating the process variations directly. For the implant layer with STI, the process variations were characterized by generating histograms, and for the double patterning process, we characterized the waviness of the printed line and the CD through pitch behavior. Direct simulations like these take more time than evaluating the reflectivity metrics a single histogram requires about 10 minutes on an 8 core 2.4 GHz desktop computer, and a single crossed grating structure requires about 10 to 20 minutes depending on the pitch of the grating. However, if the reflectivity metrics can be used to get very close to a good operating point, then perhaps only a few of the more complicated calculations will be required. References 1. Bailey, McIntyre, Zhang, Deschner, Mehta, Song, Lee, Hu, and Brodsky, Reflectivity-induced Variation in Implant Layer Lithography Proc. SPIE 6924, 69244F (2008). 2. Robertson, Reilly, Graves, Biafore, Smith, Perret, Ivin, Potashov, Silakov and Elistratov, Simulation of optical lithography in the presence of topography and spin coated films Proc. SPIE 7273, (2009). 3. Maiike Op de Beeck, personal communication Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, Wiley, New York (2008). 5. Shao, Evanschitzky, Fuhner, Erdmann Efficient simulation and optimization of wafer topographies in double patterning JM3,(2009) Proc. of SPIE Vol

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Image Degradation due to Phase Effects in Chromeless Phase Lithography Image Degradation due to Phase Effects in Chromeless Phase Lithography Karsten Bubke *, Martin Sczyrba, KT Park, Ralf Neubauer, Rainer Pforr 2, Jens Reichelt 2, Ralf Ziebold 2 Advanced Mask Technology

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Overlay accuracy fundamentals

Overlay accuracy fundamentals accuracy fundamentals Daniel Kandel Vladimir Levinski Noam Sapiens Guy Cohen Eran Amit Dana Klein Irina Vakshtein KLA-Tencor Corporation 1 Halavyan Street Migdal Haemek 31 Israel ABSTRACT Currently the

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA EXCLUSIVE ONLINE FEATURE Immersion lithography using a dual-function BARC Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

More information

Using the Normalized Image Log-Slope, part 5: Development

Using the Normalized Image Log-Slope, part 5: Development T h e L i t h o g r a p h y E x p e r t (February ) Using the Normalized Image Log-Slope, part 5: Development Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas This recent series of Lithography

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

Photoresist Modulation Curves

Photoresist Modulation Curves Photoresist Modulation Curves Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Bruce W. Smith Rochester nstitute of Technology, 82 Lomb Memorial Dr., Rochester, NY 14623 ABSTRACT Photoresist modulation

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Benefiting from polarization effects on high-na imaging

Benefiting from polarization effects on high-na imaging Benefiting from polarization effects on high-na imaging Bruce W. Smith, Lena Zavyalova, Andrew Estroff Rochester Institute of Technology, Microelectronic Engineering Department 82 Lomb Memorial Drive,

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

Snell or Fresnel The influence of material index on hyper NA lithography

Snell or Fresnel The influence of material index on hyper NA lithography Snell or Fresnel The influence of material index on hyper NA lithography Bruce Smith and Jianming Zhou Rochester Institute of Technology, Center for Nanolithography Research Microelectronic Engineering

More information

Benefiting from Polarization: Effects at High-NA Imaging

Benefiting from Polarization: Effects at High-NA Imaging Benefiting from Polarization: Effects at High-NA Imaging Bruce W. Smith L. Zavyalova, A. Estroff, Y. Fan, A. Bourov Rochester Institute of Technology P. Zimmerman International SEMACH and Intel J. Cashmore

More information

Effective Medium Theory, Rough Surfaces, and Moth s Eyes

Effective Medium Theory, Rough Surfaces, and Moth s Eyes Effective Medium Theory, Rough Surfaces, and Moth s Eyes R. Steven Turley, David Allred, Anthony Willey, Joseph Muhlestein, and Zephne Larsen Brigham Young University, Provo, Utah Abstract Optics in the

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Effect of Substrate Index of Refraction on the Design of Antireflection Coatings

Effect of Substrate Index of Refraction on the Design of Antireflection Coatings Effect of Substrate Index of Refraction on the Design of Antireflection Coatings Ronald R. Willey Willey Optical, Consultants, 13039 Cedar Street, Charlevoix, MI 49720 1. INTRODUCTION Formulae to estimate

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Photoresist with Ultrasonic Atomization Allows for High-Aspect-Ratio Photolithography under Atmospheric Conditions

Photoresist with Ultrasonic Atomization Allows for High-Aspect-Ratio Photolithography under Atmospheric Conditions Photoresist with Ultrasonic Atomization Allows for High-Aspect-Ratio Photolithography under Atmospheric Conditions 1 CONTRIBUTING AUTHORS Robb Engle, Vice President of Engineering, Sono-Tek Corporation

More information

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer

Immersion Microlithography at 193 nm with a Talbot Prism Interferometer RIT Scholar Works Presentations and other scholarship 5-28-2004 Immersion Microlithography at 193 nm with a Talbot Prism Interferometer Anatoly Bourov Yongfa Fan Frank Cropanese Neal Lafferty Lena V. Zavyalova

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

CMOS compatible highly efficient grating couplers with a stair-step blaze profile

CMOS compatible highly efficient grating couplers with a stair-step blaze profile CMOS compatible highly efficient grating couplers with a stair-step blaze profile Zhou Liang( ) a), Li Zhi-Yong( ) a), Hu Ying-Tao( ) a), Xiong Kang( ) a), Fan Zhong-Chao( ) b), Han Wei-Hua( ) b), Yu Yu-De

More information

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection Supplementary Information for Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection Bokwang Song 1, Villads Egede Johansen 2,3, Ole Sigmund 3 and Jung H. Shin 4,1,* 1

More information

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency 9-Oct-7 4th nternational Symposium on mmersion Lithography * The title has been modified [ 865 ; P-HM-5/5 ] New methodology to characterize printing performance of mask materials by analyzing diffraction

More information

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Sample study by 3D optical profiler Contour Elite K for KTH university.

Sample study by 3D optical profiler Contour Elite K for KTH university. Sample study by 3D optical profiler Contour Elite K for KTH university Samuel.lesko@bruker.com Objectives Objectives Main goals for the visit consist of evaluating 3D optical profiler: Confirm capability

More information

D&S Technical Note 09-2 D&S A Proposed Correction to Reflectance Measurements of Profiled Surfaces. Introduction

D&S Technical Note 09-2 D&S A Proposed Correction to Reflectance Measurements of Profiled Surfaces. Introduction Devices & Services Company 10290 Monroe Drive, Suite 202 - Dallas, Texas 75229 USA - Tel. 214-902-8337 - Fax 214-902-8303 Web: www.devicesandservices.com Email: sales@devicesandservices.com D&S Technical

More information

AP Physics Problems -- Waves and Light

AP Physics Problems -- Waves and Light AP Physics Problems -- Waves and Light 1. 1975-4 (Physical Optics) a. Light of a single wavelength is incident on a single slit of width w. (w is a few wavelengths.) Sketch a graph of the intensity as

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information

Error Analysis in Inverse Scatterometry I: Modeling

Error Analysis in Inverse Scatterometry I: Modeling Error Analysis in Inverse Scatterometry I: Modeling Rayan M. Alassaad and Dale M. Byrne i Erik Jonsson School of Engineering and Computer Science, University of Texas at Dallas, MS EC33, Richardson, TX

More information

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr.

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr. A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541 Abstract In the semiconductor manufacturing industry,

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process 3D Process Simulator Victory Process provides the capability to simulate comprehensive full process

More information

Physical Optics. You can observe a lot just by watching. Yogi Berra ( )

Physical Optics. You can observe a lot just by watching. Yogi Berra ( ) Physical Optics You can observe a lot just by watching. Yogi Berra (1925-2015) OBJECTIVES To observe some interference and diffraction phenomena with visible light. THEORY In a previous experiment you

More information

Practical approach to full-field wavefront aberration measurement using phase wheel targets

Practical approach to full-field wavefront aberration measurement using phase wheel targets Practical approach to full-field wavefront aberration measurement using phase wheel targets Lena V. Zavyalova *a, Bruce W. Smith a, Anatoly Bourov a, Gary Zhang b, Venugopal Vellanki c, Patrick Reynolds

More information

AP* Optics Free Response Questions

AP* Optics Free Response Questions AP* Optics Free Response Questions 1978 Q5 MIRRORS An object 6 centimeters high is placed 30 centimeters from a concave mirror of focal length 10 centimeters as shown above. (a) On the diagram above, locate

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Patterned Wafer Geometry Grouping for Improved Overlay Control

Patterned Wafer Geometry Grouping for Improved Overlay Control Patterned Wafer Geometry Grouping for Improved Overlay Control Honggoo Lee a, Sangjun Han a, Jaeson Woo a, Junbeom Park a, Changrock Song a, Fatima Anis b, Pradeep Vukkadala b, Sanghuck Jeon C, DongSub

More information

Chapter 36. Diffraction. Dr. Armen Kocharian

Chapter 36. Diffraction. Dr. Armen Kocharian Chapter 36 Diffraction Dr. Armen Kocharian Diffraction Light of wavelength comparable to or larger than the width of a slit spreads out in all forward directions upon passing through the slit This phenomena

More information

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia)

Sub-wavelength Holographic Lithography: The Possibilities And Advantages. (NANOTECH SWHL, 6-1 Kazarmenny per., Moscow, Russia) Sub-wavelength Holographic Lithography: The Possibilities And Advantages Mikhail V. Borisov, Dmitriy A. Chelyubeev, Vitalij V. Chernik, Alexander A. Gavrikov, Dmitriy Yu. Knyazkov, Petr A. Mikheev, Vadim

More information

Using Fringe Projection Phase-Shifting to Correct Contact Angles for Roughness Effects. June th, 2016 Greg Wills Biolin Scientific

Using Fringe Projection Phase-Shifting to Correct Contact Angles for Roughness Effects. June th, 2016 Greg Wills Biolin Scientific Using Fringe Projection Phase-Shifting to Correct Contact Angles for Roughness Effects June 15-16 th, 2016 Greg Wills Biolin Scientific Copyright Biolin Scientific 2014 Content Introduction to Contact

More information

Unit 5.C Physical Optics Essential Fundamentals of Physical Optics

Unit 5.C Physical Optics Essential Fundamentals of Physical Optics Unit 5.C Physical Optics Essential Fundamentals of Physical Optics Early Booklet E.C.: + 1 Unit 5.C Hwk. Pts.: / 25 Unit 5.C Lab Pts.: / 20 Late, Incomplete, No Work, No Units Fees? Y / N 1. Light reflects

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Lab 12 - Interference-Diffraction of Light Waves

Lab 12 - Interference-Diffraction of Light Waves Lab 12 - Interference-Diffraction of Light Waves Equipment and Safety: No special safety equipment is required for this lab. Do not look directly into the laser. Do not point the laser at other people.

More information

Chapter 8: Physical Optics

Chapter 8: Physical Optics Chapter 8: Physical Optics Whether light is a particle or a wave had puzzled physicists for centuries. In this chapter, we only analyze light as a wave using basic optical concepts such as interference

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

Advanced Simulation Techniques for Thick Photoresist Lithography

Advanced Simulation Techniques for Thick Photoresist Lithography SPIE 1997 349-72 Advanced Simulation Techniques for Thick Photoresist Lithography Warren W. Flack, Gary Newman Ultratech Stepper, Inc. San Jose, CA 95134 D. Bernard, J. Rey, Y. Granik, V. Boksha Technology

More information

Reflectivity Control at Substrate / Photoresist Interface by Inorganic Bottom Anti-Reflection Coating for Nanometerscaled

Reflectivity Control at Substrate / Photoresist Interface by Inorganic Bottom Anti-Reflection Coating for Nanometerscaled TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 15, No. 3, pp. 159-163, June 25, 2014 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2014.15.3.159 Reflectivity

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 469, pp. 5-37. It is made available as an electronic

More information

INTERFERENCE. Interf - 1

INTERFERENCE. Interf - 1 INTERFERENCE This laboratory will investigate the phenomenon of interference. The interference and diffraction of light waves will be studied. Specifically, the interference patterns of a single slit,

More information

Introduction to Diffraction Gratings

Introduction to Diffraction Gratings Introduction to Diffraction Diffraction (Ruled and Holographic) Diffraction gratings can be divided into two basic categories: holographic and ruled. A ruled grating is produced by physically forming grooves

More information

Doug Schramm a, Dale Bowles a, Martin Mastovich b, Paul C. Knutrud b, Anastasia Tyurina b ABSTRACT 1. INTRODUCTION

Doug Schramm a, Dale Bowles a, Martin Mastovich b, Paul C. Knutrud b, Anastasia Tyurina b ABSTRACT 1. INTRODUCTION Algorithm Implementation and Techniques for Providing More Reliable Overlay Measurements and Better Tracking of the Shallow Trench Isolation (STI) Process Doug Schramm a, Dale Bowles a, Martin Mastovich

More information

Advanced modelling of gratings in VirtualLab software. Site Zhang, development engineer Lignt Trans

Advanced modelling of gratings in VirtualLab software. Site Zhang, development engineer Lignt Trans Advanced modelling of gratings in VirtualLab software Site Zhang, development engineer Lignt Trans 1 2 3 4 Content Grating Order Analyzer Rigorous Simulation of Holographic Generated Volume Grating Coupled

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

PY212 Lecture 25. Prof. Tulika Bose 12/3/09. Interference and Diffraction. Fun Link: Diffraction with Ace Ventura

PY212 Lecture 25. Prof. Tulika Bose 12/3/09. Interference and Diffraction. Fun Link: Diffraction with Ace Ventura PY212 Lecture 25 Interference and Diffraction Prof. Tulika Bose 12/3/09 Fun Link: Diffraction with Ace Ventura Summary from last time The wave theory of light is strengthened by the interference and diffraction

More information

Holographic Elements in Solar Concentrator and Collection Systems

Holographic Elements in Solar Concentrator and Collection Systems Holographic Elements in Solar Concentrator and Collection Systems Raymond K. Kostuk,2, Jose Castro, Brian Myer 2, Deming Zhang and Glenn Rosenberg 3 Electrical and Computer Engineering, Department University

More information

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena

Chapter 24. Wave Optics. Wave Optics. The wave nature of light is needed to explain various phenomena Chapter 24 Wave Optics Wave Optics The wave nature of light is needed to explain various phenomena Interference Diffraction Polarization The particle nature of light was the basis for ray (geometric) optics

More information

ACCURATE TEXTURE MEASUREMENTS ON THIN FILMS USING A POWDER X-RAY DIFFRACTOMETER

ACCURATE TEXTURE MEASUREMENTS ON THIN FILMS USING A POWDER X-RAY DIFFRACTOMETER ACCURATE TEXTURE MEASUREMENTS ON THIN FILMS USING A POWDER X-RAY DIFFRACTOMETER MARK D. VAUDIN NIST, Gaithersburg, MD, USA. Abstract A fast and accurate method that uses a conventional powder x-ray diffractometer

More information

CHAPTER 26 INTERFERENCE AND DIFFRACTION

CHAPTER 26 INTERFERENCE AND DIFFRACTION CHAPTER 26 INTERFERENCE AND DIFFRACTION INTERFERENCE CONSTRUCTIVE DESTRUCTIVE YOUNG S EXPERIMENT THIN FILMS NEWTON S RINGS DIFFRACTION SINGLE SLIT MULTIPLE SLITS RESOLVING POWER 1 IN PHASE 180 0 OUT OF

More information

Chapter 2: Wave Optics

Chapter 2: Wave Optics Chapter : Wave Optics P-1. We can write a plane wave with the z axis taken in the direction of the wave vector k as u(,) r t Acos tkzarg( A) As c /, T 1/ and k / we can rewrite the plane wave as t z u(,)

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

Lecture 39. Chapter 37 Diffraction

Lecture 39. Chapter 37 Diffraction Lecture 39 Chapter 37 Diffraction Interference Review Combining waves from small number of coherent sources double-slit experiment with slit width much smaller than wavelength of the light Diffraction

More information

Dept of EECS and 2 Applied Physics Program (fax)

Dept of EECS and 2 Applied Physics Program (fax) Hsu-Ting Huang, Brooke Stutzman 2, Wei Kong, and Fred L. Terry, Jr.,2 Dept of EECS and 2 Applied Physics Program 734-763-9764 734-763-9324 (fax) fredty@umich.edu http://www.eecs.umich.edu/~fredty Motivation

More information

Bringing Patterned Media to Production with Value Added Metrology

Bringing Patterned Media to Production with Value Added Metrology Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009 Overview Introduction AFM Scan Modes New Nanotrench Pattern

More information

High spatial resolution measurement of volume holographic gratings

High spatial resolution measurement of volume holographic gratings High spatial resolution measurement of volume holographic gratings Gregory J. Steckman, Frank Havermeyer Ondax, Inc., 8 E. Duarte Rd., Monrovia, CA, USA 9116 ABSTRACT The conventional approach for measuring

More information

Optical microscope angular illumination analysis

Optical microscope angular illumination analysis Optical microscope angular illumination analysis Ravikiran Attota * and Richard Silver Semiconductor and Dimensional Metrology Division, National Institute of Standards and Technology, Gaithersburg, MD

More information

Physics 272 Lecture 27 Interference (Ch ) Diffraction (Ch )

Physics 272 Lecture 27 Interference (Ch ) Diffraction (Ch ) Physics 272 Lecture 27 Interference (Ch 35.4-5) Diffraction (Ch 36.1-3) Thin Film Interference 1 2 n 0 =1 (air) t n 1 (thin film) n 2 Get two waves by reflection off of two different interfaces. Ray 2

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Chapter 36. Diffraction. Copyright 2014 John Wiley & Sons, Inc. All rights reserved.

Chapter 36. Diffraction. Copyright 2014 John Wiley & Sons, Inc. All rights reserved. Chapter 36 Diffraction Copyright 36-1 Single-Slit Diffraction Learning Objectives 36.01 Describe the diffraction of light waves by a narrow opening and an edge, and also describe the resulting interference

More information

Chapter 24. Wave Optics

Chapter 24. Wave Optics Chapter 24 Wave Optics Wave Optics The wave nature of light is needed to explain various phenomena Interference Diffraction Polarization The particle nature of light was the basis for ray (geometric) optics

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

Interference and Diffraction of Light

Interference and Diffraction of Light Name Date Time to Complete h m Partner Course/ Section / Grade Interference and Diffraction of Light Reflection by mirrors and refraction by prisms and lenses can be analyzed using the simple ray model

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Interference. Electric fields from two different sources at a single location add together. The same is true for magnetic fields at a single location.

Interference. Electric fields from two different sources at a single location add together. The same is true for magnetic fields at a single location. Interference Electric fields from two different sources at a single location add together. The same is true for magnetic fields at a single location. Thus, interacting electromagnetic waves also add together.

More information

Introduction. Part I: Measuring the Wavelength of Light. Experiment 8: Wave Optics. Physics 11B

Introduction. Part I: Measuring the Wavelength of Light. Experiment 8: Wave Optics. Physics 11B Physics 11B Experiment 8: Wave Optics Introduction Equipment: In Part I you use a machinist rule, a laser, and a lab clamp on a stand to hold the laser at a grazing angle to the bench top. In Part II you

More information

Weighted least squares regression for advanced overlay control

Weighted least squares regression for advanced overlay control Weighted least squares regression for advanced overlay control Dana Klein a, John C. Robinson* b, Guy Cohen a, Chin-Chou Kevin Huang b, Bill Pierson b a KLA-Tencor Corporation Israel, Haticshoret St.,

More information

Diffraction Gratings as Anti Reflective Coatings Noah Gilbert. University of Arizona ngilbert .arizona.edu Phone: (520)

Diffraction Gratings as Anti Reflective Coatings Noah Gilbert. University of Arizona   ngilbert .arizona.edu Phone: (520) Diffraction Gratings as Anti Reflective Coatings Noah Gilbert University of Arizona Email: ngilbertemail.arizona.edu Phone: (520)304 4864 Abstract: Diffraction gratings with sub wavelength spatial frequencies

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Nickhil Jakatdar 1, Xinhui Niu, Costas J. Spanos Dept. of Electrical Engineering and Computer

More information

SILICON PHOTONICS WAVEGUIDE AND ITS FIBER INTERCONNECT TECHNOLOGY. Jeong Hwan Song

SILICON PHOTONICS WAVEGUIDE AND ITS FIBER INTERCONNECT TECHNOLOGY. Jeong Hwan Song SILICON PHOTONICS WAVEGUIDE AND ITS FIBER INTERCONNECT TECHNOLOGY Jeong Hwan Song CONTENTS Introduction of light waveguides Principals Types / materials Si photonics Interface design between optical fiber

More information

Nishtha Bhatia Washington High School July 31 st, 2014

Nishtha Bhatia Washington High School July 31 st, 2014 Nishtha Bhatia Washington High School July 31 st, 2014 MY PROJECTS Programming Photolithography o Incorporate image slider to Nanolab website homepage o MNL s current i-line PR OiR 897-10i is discontinued

More information