Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles

Size: px
Start display at page:

Download "Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles"

Transcription

1 Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, Efficient Rectilinear Steiner Tree onstruction with Rectangular Obstacles 1. Introduction Rectilinear Steiner Minimal Tree (RSMT) is one of the key problems in VLSI/ULSI physical design [1]. Given n points in a plane, a RSMT problem is to connect these points through some extra points called Steiner points to achieve a tree with minimal total wire length [2-4]. With the trend toward IPblock-based So design, the macro cells, such as memories, logic blocks, present in the design as obstacles and their shapes are generally rectangular. Thus, the problem of constructing rectilinear Steiner minimal tree with rectangular obstacles (RSMTRO) has brought attentions [1,6-9]. The RSMTRO problem can be stated as follows [9]. Let P={p 1,p 2,..,p m } be a set of pins for m pins net, ={b 1,b 2,...,b k } be a set of rectangular obstacles, and V={v 1,v 2,...,v n }=P {corners in } as the vertex set, where each v i has coordinates (x i,y i ). Note that each rectangular obstacle has four corners, we have n m+4k. For example, there are 4 pints (m=4), rectangular obstacles (k=), and 24 vertices (v=24). The input informations for both pins are obstacles are listed in Figures 1 and 1, respectively. Each pin is assigned with its coordination (x i,y i ), e.g., the pin is located at (2,6); and each Each obstacle is defined by two pairs of hin-long Wey epartment of Electrical Engineering National entral University hung-li, Taiwan clwey@ee.ncu.edu.tw bstract: - Rectilinear Steiner Minimal Tree (RSMT) is one of the key problems in VLSI/ULSI physical design.with the trend toward IP-block-based So design, the macro cells, such as memories, logic blocks, present in the design as obstacles and their shapes are generally rectangular. The RSMTRO (rectilinear Steiner minimal tree with rectangular obstacles) problem is to connect all pins through some extra points (called Steiner points) to achieve a minimal total length, while avoiding the intersection with any rectangular obstacles in the design. This study presents an efficient RSMTRO algorithm. The algorithm consists of two step process: Path onstruction; and Path ssignment. set of assignment rules was derived for path assignment. The developed algorithm can efficiently provide RSMTRO solution with good quality. Key-words: Physical esign, Placement & Routine, IP-locks, Rectilinear Obstacles, Rectilinear Steiner Tree, Spanning Graph. points, i.e., the coordinates of the bottom-left and the top-right points of the obstacle, e.g., the two corners of obstacle 1 are (1,10) and (6,12), respectively. ased on the list of coordinates of pins nd obstacles, Figure 1 shows the layout. The RSMTRO problem is to connect all pins through some extra points (called Steiner points) to achieve a minimal total length, while avoiding the intersection with any rectangular obstacles in the design Existing heuristic algorithms for the RSMTRO problem can be classified into three categories [9]: (1) Maze routing; (2) Sequential approach; and (3) onnection graph based algorithm. Maze routing [] can optimally route two pin nets, for multi-pin nets, it is inefficient in terms of run time and mem Figure 1: Example: Pins; Obstacles; and Layout of both Pins and Obstacles. 4

2 Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, ory. The sequential approach consists of two steps. It first constructs either a minimal spanning tree or a Steiner minimal tree with absence of obstacles. The second stes to apply the simple line sweep technique to substitute edges around the obstacles for the edges overlapped by the obstacles. complicated 4-process heuristics wad proposed in [1] to improve the overlap removal. Finally, the third category constructs a connection graph by the pins and obstacle boundaries. It guarantees that ate least one rectilinear Steiner minimal tree is embedded in the graph. Then, it uses graph searching technique to improve the resultant interconnections. Recently, an efficient spanning graph based approach has been proposed [9] to provide the RSMTRO solution with good quality. The approach containing only O(n) vertices and O(n) edges, is much smaller than any previous connection graph approaches. The spanning graph construction takes (n long n) time and memory usage. However, the construction of spanning graph can be simplified to reduce the time complexity of the RSMTRO problem. This motivated the development presented in this study. In the next section, the spanning graph based approach in [9] is first briefly reviewed. Section 3 presents the developed algorithm for RSMTRO problem. Finally, a concluding remark is given in Section ackground For the RSMTRO problem, we assume that any two of the rectangular obstacles will not overlap with each other, but two obstacles could be pointtouched at the corner or line-touched at the boundary. The resultant interconnection segments are allowed to touch obstacles, as shown in Figure 2. Figure 2: Interconnections with Rectangular Obstacles: Not llowed; and llowed. complete spanning graph, as shown in Figure 3, is first constructed in [9]. The approach employs an efficient way to reduce the searching time. More specifically, each rectangular obstacle has four corners, S i1, S i2, S i3, and S i4, as shown in Figure 3, and it is partitioned into eight neighbors, R 1, R 2,..., R 8. Each corner takes only 3 neighboring search regions which are adjacent to this corner. For example, R 8, R 1, and R 2 are the three neighboring search regions for the corner S i1 ; and R 4, R, and R 6 are those for the corner S i3. Each pin has four neighboring search regions, Q 1, Q 2, Q 3, and Q (e) ased on the complete spanning graph, the shortest paths between any two pins and their distances are derived as shown in Figure 3. For example, a shortest distance of 14 is resulted between pins and without intersecting the obstacles. ased on the graph representation in Figure 3, a minimal spanning tree is derived in Figure 3(d) with a minimal total distance of 38. Selecting the needed paths, the corresponding spanning tress is given in Figure 3(e). Figure 3 provides the final RSMTRO solution. In that approach, a complete minimal spanning graph must be constructed initially. The approach also needs to construct the shortest paths for all possible two-pin pairs and calculate their distances, where there are [(m 2 -m)/2] pairs. 14 S i2 R 2 S i1 R 3 R 1 1 Q 2 Q 1 R 4 R S i3 R 6 S i4 R 8 Q 3 P Q 4 (d) Figure 3. Spanning Graph ased pproach: omplete Spanning Tree for Example in Figure 1; Searching Regions; omplete onnected Graph; (d) Minimal onnection Tree; and (e)& The RSMTRO Solution. R

3 Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, In fact, the calculated distances in the complete connected graph, in Figure 3, can be represented by a distance table shown in Figure 4. simple greedy approach can be adopted to select those pairs with the minimal total length. For instance, in the distance table, the pair (,) with the minimal distance,, is first selected, hence, the pin set of the connected graph includes {,}. y eliminating the pair (,), the pair (,) with a distance of 14 is then selected. This results that the pin set is updated as {,,}. Now, the only pin left out is, as shown in Figure 4. mong the pairs (,), (,), and (,), the pair (,) with a distance of 1 is therefore selected. The final connected graph has been shown in Figure 3(d) Figure 4: Pair Selection: istance Table; and onnected Graph. pparently, we need only construct the paths for those three pairs if we were able to identify them. s such, we really don t need to waste the computing time for constructing the complete spanning graph for those unwanted paths. 3. evelopment The developed approach consists of two processes: Path onstruction; and Path ssignment. The former process constructs a connection graph with a minimal total length, while the latter derives the final RSMTRO solution. For the Path onstruction process, we first build a distance table which records the shortest distance between any two pins without obstacles, as shown in Figure. Let =(x i,y i ) and p j = (x j,y j ) be any two pins, the distance is defined as d(,p j )= x i -x j + y i -y j. Prior to building the distance table, the given pins are first sorted if they are not in an ascending order. More specifically, all pins are first sorted by their x coordinates in an ascending order. However, if two pins have the same x coordinates, then they are sorted by their y coordinates in an ascending order. The sorting process is important for reducing searching time. Our goal is to construct a connection graph 14 1 with the minimal total length in the presence of obstacles. Note that the distance d(,p j ) is a half of the perimeter of a rectangle which takes the points and p j as either the diagonal or off-diagonal corners, as shown in Figure. The rectangle may become a line segment if either x i =x j or y i =y j. The rectangle has two paths traveling from to p j in the absence of obstacles, and they may or may not intersect the obstacle(s). efinition 1: (Essential Pair) pin-pair (,p j ) is referred to as essential if at least one path in the rectangle does not intersect any obstacles. Otherwise, the pair is non-essential. path of the rectangle corresponding to the pair (p 3,p 4 ), in Figure, does not intersect the obstacle, thus it is an essential pair. On the other hand, both paths of the rectangle corresponding to (p 1,p 2 ) intersect an obstacle. Even though a path of the rectangle corresponding to (p 1,p ) does not intersect the obstacle in the right-hand side, the other path intersects the other obstacle. Thus, both pairs (p 3,p 4 ) and (p 1,p ) are non-essential. In Figure 1, there exists only one essential pair, i.e., (,) with a minimal distance of units, and it is marked by a circle in Figure. Observation 1: In both cases that the presence and absence of obstacles, the connected path of an essential pair has the same minimal distance. The minimal distance of the connected path for a non-essential pair in the presence of obstacles is always greater than or equal to that without obstacles. efinition 2: (MS-pair) n essential pair with the minimal distance is referred to as must-be-selected (MS) pair. If the available MS-pairs are sufficient to construct a connected graph, then it is a RSMTRO solution with the minimal total length. In this case, no spanning graph is needed. Or, if the available MS-pairs are sufficient to construct some subgraph with the minimal total length among the pins it covers. Then, the subgraphs then become a super pins for the problem. In that case, the program complexity is reduced significantly. From the distance table in Figure, the pair (,) with a distance of is the only essential pair and

4 Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, thus it is also a MS-pair. The relationship among pins and distance table can be represented as in Figure. Our goal is to derive a connected graph which covers all pins with the minimal total length. greedy algorithm is attempted in this study, a minimal graph as shown in Figure (d). The MS-pair is automatically selected, and two other pairs with the minimal distance of 12 are also selected, where four pins are covered completely. Note that the distances in Figure were calculated without considering the obstacles, they are not the actual distances. However, the distances for the essential pairs are the actual values. Therefore, we must calculate the actual distance of both pairs (,) and (,) p p 2 p 4 v d v a v b v c ve simple segment search approach is developed for constructing the shortest path. asically, we only search the corners lie on the shortest path between both pins and mark those corners, as shown in Figure (d). Thus, we only store the data for those connected corners. The shortest path for (,) will pass through the corners V a (6,12), V b (6,10), V c (,8), and V d (3,8). Therefore, we only record the coordinates (in sequence): {,V a,v b,v c,v d,} and its distance of 14. Similarly, the shortest path for (,) is also constructed. The path information includes {, p 1 (g) Figure. Path onstruction: istance Table (Without Obstacles); Essential/non-essential Pairs; -(e) Minimal Graph; Path onstruction; and (g) omplete Path onstruction. p (d) (e) (10,13),(10,),(,),(,3),(11,2)} and a distance of 20. The connected graph in Figure (d) is comprised of three segments with the distances of, 14, and 20, respectively. The total distance is 41. The next stes check if quality improvement is possible. If the distances of all the remaining pairs in the distance table are larger than or equal to the maximal distance in the current connected graph, then no improvement can be resulted. The connected graph is then completed. Otherwise, some pairs must be tried. For instance, the maximal total distance of the current connected graph in Figure (d) is 20, where the remaining pairs,,, and, have smaller distance. Thus, we will first try the pair (,) to replace (,). Similarly, the shortest path for the pair (,) is constructed with following information: {(10, 2),(,3),(,),(,8),(3,8),(2,6)} and a distance of 1. In other words, the current connected graph containing 3 pairs, (,), (,), (,), have the distances of, 14, and 1, respectively, or a total distance of 38. Since the maximal distance of the current graph is 1 which is smaller than or equal to the remaining pairs in the distance table. The current graph, as shown in Figure 4, with a distance of 38 is the solution. The graph construction process is then terminated. In summary, the path construction process starts with building up the distance table without consideration of the obstacles. ased on the given distances, a greedy algorithm is applied to derive an initial graph which covers all pins. The quality of the solution is improved progressively. For the Phase ssignment process, a number of assignment rules are given in Figure 6. The connected graph in Figure (g) is converted as the final RSMTRO solution with Steiner nodes in Figure 3. More specifically, let dots and squares represent the pins and vertices in Figure 6, respectively. onsider the pin and vertex v a, there exist two paths from to v a, namely, right-down path and down-right path. If the next vertex to be connected is v 3, as shown in Figure 6, we will select the right-down path from v 1 to v 2. On the other hand, if the next vertex v 4 to be connected is shown in Figure 6, the down-right path is selected for the path from v 1 to v 2. Therefore, in Figure 6, the right-down path is selected for (v a, ) and the up-right path is selected for (,v b ),

5 Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, as shown in Figure 6(d). Similarly, for the cases in Figures 6(e)-6(g), the path assignments are shown. v 4 v 1 v 1 Figure 6. Path ssignment: Pin to Marked Points; & ssign Rules; (d) Final ssignment; and (e)-(g) More ssign Rules. onsider the path from to in Figure, based on the rule in Figure 6(e), the path including the vertices, v d, and v c are assigned as a upright-directed path, as illustrated in Figure 3. y the same rule, the vertices v c and v b are assigned by a right-up-directed graph. Finally, the vertices v b, v a, and are assigned by a right-downdirected path. Similarly, the remaining shortest paths contributing to the connected graph can be assigned as shown in Figure 3. In summary, based on the distance calculation, the minimal total distance is 38. However, based on the developed path assignment process, with two Steiner points, the total length is 30, as shown in Figure onclusions This paper presents an efficient connected graph based approach for the RSMTRO problem. The algorithm is comprised of two steps: Path construction; and Path assignment. The time-consuming process for constructing the spanning graph is simplified considerably. The algorithm has been implemented in language. number of benchmark examples have been tested at the time of this writing. The test results are very promising. However, there are number of implementation issues must be addressed in the future. For example: (1) deriving more assignment rules so that v 3 v 2 v a a v b v b (e) v 2 (g) (d) more paths can be shared to reduce the total wire length; (2) a heuristic algorithm is being developed to replace the greedy algorithm for better quality. References 1. Y. Yang, Q. Zho, T. Jing, X. Hong, and Y. Wang, "Rectilinear Steiner tress among obstacles," Proc. th International onference on SI, pp , October P. K. garwal and M. I. Shing, "lgorithms for the special cases of rectilinear Steiner trees: I. Points on the boundary of a rectilinear rectangle. Networks, 20:43-48, Kahng and G. Robins, " new class of iterative Steiner tree heuristics with good performance," IEEE Trans. on, Vol.11, pp , M. orch, R.M. Owens, and M.J. Irwin, " fast and simple Steiner routing heuristic," Springer-Verlag Lecture Notes in omputer Science (62), pp.33-42, Y. Lee, n lgorithm for path connections and its applications, IRE Trans. on Electronic omputers, Vol.10, pp , hiang, M. Sarrafzadeh, and. K. Wong. n algorithm for exact rectilinear Steiner trees for switchbox with obstacles. IEEE Trans. on ircuits and Systems, Vol. 39, pp.446-4, J.Ganley and J.P.ohoon, "Routing a multiterminal critical net: Stiener Tree onstruction in the presence of obstacles," Proc. International Symp. on ircuits and Systems, Vol.1, pp , J. Liu, Y. Zhao, E. Shragowitz, and George Karypis, " polynomial time approximation scheme for rectilinear Steiner minimum tree construction in the presence of obstacles," Proc. International onference on Electronics, ircuits, and Systems (IES), pp.81-84, Z. Shen,..N. hu, and Y.-M. Li, "Efficient rectilinear Steiner tree construction with rectilinear blockages," Proc. International onference on omputer esign, Oct. 200.

Unit 7: Maze (Area) and Global Routing

Unit 7: Maze (Area) and Global Routing Unit 7: Maze (Area) and Global Routing Course contents Routing basics Maze (area) routing Global routing Readings Chapters 9.1, 9.2, 9.5 Filling Unit 7 1 Routing Unit 7 2 Routing Constraints 100% routing

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

Efficient Rectilinear Steiner Tree Construction with Rectilinear Blockages

Efficient Rectilinear Steiner Tree Construction with Rectilinear Blockages Efficient ectilinear Steiner Tree Construction with ectilinear Blockages Zion Shen Chris CN Chu Ying-Meng Li Cadence Design Systems 555 iver Oaks Parkway San Jose, CA, 5134 zion@cadencecom Department of

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to Timing

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

New Optimal Layer Assignment for Bus-Oriented Escape Routing

New Optimal Layer Assignment for Bus-Oriented Escape Routing New Optimal Layer ssignment for us-oriented scape Routing in-tai Yan epartment of omputer Science and nformation ngineering, hung-ua University, sinchu, Taiwan, R. O.. STRT n this paper, based on the optimal

More information

Seeing Around Corners: Fast Orthogonal Connector Routing

Seeing Around Corners: Fast Orthogonal Connector Routing Seeing round Corners: Fast Orthogonal Connector Routing Kim Marriott 1, Peter J. Stuckey 2, and Michael Wybrow 1 1 Caulfield School of Information Technology, Monash University, Caulfield, Victoria 3145,

More information

Probability-Based Approach to Rectilinear Steiner Tree Problems

Probability-Based Approach to Rectilinear Steiner Tree Problems 836 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 6, DECEMBER 2002 Probability-Based Approach to Rectilinear Steiner Tree Problems Chunhong Chen, Member, IEEE, Jiang Zhao,

More information

CS612 Algorithms for Electronic Design Automation. Global Routing

CS612 Algorithms for Electronic Design Automation. Global Routing CS612 Algorithms for Electronic Design Automation Global Routing Mustafa Ozdal CS 612 Lecture 7 Mustafa Ozdal Computer Engineering Department, Bilkent University 1 MOST SLIDES ARE FROM THE BOOK: MODIFICATIONS

More information

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms ECE 7 Complex Digital ASIC Design Topic : Physical Design Automation Algorithms Christopher atten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece7

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 16 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and Optimization

More information

A Multi-Layer Router Utilizing Over-Cell Areas

A Multi-Layer Router Utilizing Over-Cell Areas A Multi-Layer Router Utilizing Over-Cell Areas Evagelos Katsadas and Edwin h e n Department of Electrical Engineering University of Rochester Rochester, New York 14627 ABSTRACT A new methodology is presented

More information

General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs

General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs Hongbing Fan Dept. of omputer Science University of Victoria Victoria B anada V8W P6 Jiping Liu Dept. of Math. & omp. Sci. University

More information

Multilayer Routing on Multichip Modules

Multilayer Routing on Multichip Modules Multilayer Routing on Multichip Modules ECE 1387F CAD for Digital Circuit Synthesis and Layout Professor Rose Friday, December 24, 1999. David Tam (2332 words, not counting title page and reference section)

More information

An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles

An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles Tao Huang Dept. of Computer Science and Engineering The Chinese University of Hong Kong Shatin, NT,

More information

ECE260B CSE241A Winter Routing

ECE260B CSE241A Winter Routing ECE260B CSE241A Winter 2005 Routing Website: / courses/ ece260bw05 ECE 260B CSE 241A Routing 1 Slides courtesy of Prof. Andrew B. Kahng Physical Design Flow Input Floorplanning Read Netlist Floorplanning

More information

Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree

Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree Ching-Hung Liu, Yao-Hsin Chou, Shin-Yi Yuan, and Sy-Yen Kuo National Taiwan University 1 Outline 2 Outline 3 The

More information

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees Latha N.R. Computer Science and Engineering, Visveswaraiah Technological University B.M.S. College of Engineering, Bangalore,

More information

An O(nlogn) Algorithm for Obstacle-Avoiding Routing Tree Construction in the λ-geometry Plane *

An O(nlogn) Algorithm for Obstacle-Avoiding Routing Tree Construction in the λ-geometry Plane * An O(nlogn) Algorithm for Obstacle-Avoiding Routing Tree Construction in the λ-geometry Plane * Zhe Feng 1, Yu Hu 2, 1 CST Department 1 Tsinghua University Beijing 100084, China Phone: +86-10-62785564

More information

Graph Models for Global Routing: Grid Graph

Graph Models for Global Routing: Grid Graph Graph Models for Global Routing: Grid Graph Each cell is represented by a vertex. Two vertices are joined by an edge if the corresponding cells are adjacent to each other. The occupied cells are represented

More information

An approximation algorithm for a bottleneck k-steiner tree problem in the Euclidean plane

An approximation algorithm for a bottleneck k-steiner tree problem in the Euclidean plane Information Processing Letters 81 (2002) 151 156 An approximation algorithm for a bottleneck k-steiner tree problem in the Euclidean plane Lusheng Wang,ZimaoLi Department of Computer Science, City University

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Computational Geometry

Computational Geometry Lecture 12: Lecture 12: Motivation: Terrains by interpolation To build a model of the terrain surface, we can start with a number of sample points where we know the height. Lecture 12: Motivation: Terrains

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

A motion planning method for mobile robot considering rotational motion in area coverage task

A motion planning method for mobile robot considering rotational motion in area coverage task Asia Pacific Conference on Robot IoT System Development and Platform 018 (APRIS018) A motion planning method for mobile robot considering rotational motion in area coverage task Yano Taiki 1,a) Takase

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN029 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 09: Routing Introduction to Routing Global Routing Detailed Routing 2

More information

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Routing Robust Channel Router Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Channel Routing Algorithms Previous algorithms we considered only work when one of the types

More information

Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree

Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree Liang Li lli@cse.cuhk.edu.hk Zaichen Qian zcqian@cse.cuhk.edu.hk Evangeline F. Y. Young fyyoung@cse.cuhk.edu.hk ABSTRACT 1 In this

More information

Rectangular Partitioning

Rectangular Partitioning Rectangular Partitioning Joe Forsmann and Rock Hymas Introduction/Abstract We will look at a problem that I (Rock) had to solve in the course of my work. Given a set of non-overlapping rectangles each

More information

Layout DA (Physical Design)

Layout DA (Physical Design) Layout DA (Physical Design) n Floor Planning n Placement and Partitioning n Global Routing n Routing n Layout Compaction 1 Routing n Types of Local Routing Problems n Area Routing u Lee s Algorithm n Channel

More information

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement on-rectangular Shaping and Sizing of Soft Modules for Floorplan esign Improvement STRT Many previous works on rectilinear block packing [; ; ; ; ; ; ; ; ; ; ; ] assume that some input modules are pre-designated

More information

ICS 161 Algorithms Winter 1998 Final Exam. 1: out of 15. 2: out of 15. 3: out of 20. 4: out of 15. 5: out of 20. 6: out of 15.

ICS 161 Algorithms Winter 1998 Final Exam. 1: out of 15. 2: out of 15. 3: out of 20. 4: out of 15. 5: out of 20. 6: out of 15. ICS 161 Algorithms Winter 1998 Final Exam Name: ID: 1: out of 15 2: out of 15 3: out of 20 4: out of 15 5: out of 20 6: out of 15 total: out of 100 1. Solve the following recurrences. (Just give the solutions;

More information

Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers

Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers , October 20-22, 2010, San Francisco, USA Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers I-Lun Tseng, Member, IAENG, Huan-Wen Chen, and Che-I Lee Abstract Longest-path routing problems,

More information

Theoretical Constraints on Multi-Dimensional Retiming Design Techniques

Theoretical Constraints on Multi-Dimensional Retiming Design Techniques header for SPIE use Theoretical onstraints on Multi-imensional Retiming esign Techniques N. L. Passos,.. efoe, R. J. Bailey, R. Halverson, R. Simpson epartment of omputer Science Midwestern State University

More information

CAD Algorithms. Shortest Path

CAD Algorithms. Shortest Path lgorithms Shortest Path lgorithms Mohammad Tehranipoor epartment September 00 Shortest Path Problem: ind the best way of getting from s to t where s and t are vertices in a graph. est: Min (sum of the

More information

Coverage and Search Algorithms. Chapter 10

Coverage and Search Algorithms. Chapter 10 Coverage and Search Algorithms Chapter 10 Objectives To investigate some simple algorithms for covering the area in an environment To understand how to break down an environment into simple convex pieces

More information

MULTI-NODE STATIC LOGIC IMPLICATIONS FOR REDUNDANCY IDENTIFICATION

MULTI-NODE STATIC LOGIC IMPLICATIONS FOR REDUNDANCY IDENTIFICATION MULTI-NODE STTI LOGI IMPLITIONS FOR REDUNDNY IDENTIFITION Kabir Gulrajani and Michael S. Hsiao Intel orporation, Dupont, W Department of Electrical and omputer Engineering, Rutgers University, Piscataway,

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

Approximation Algorithms for Geometric Intersection Graphs

Approximation Algorithms for Geometric Intersection Graphs Approximation Algorithms for Geometric Intersection Graphs Subhas C. Nandy (nandysc@isical.ac.in) Advanced Computing and Microelectronics Unit Indian Statistical Institute Kolkata 700108, India. Outline

More information

Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications *

Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications * Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications * Thorsten Adler Infineon Technologies AG Thorsten.Adler@infineon.com Erich Barke Institute of Microelectronic Systems

More information

CS 532: 3D Computer Vision 14 th Set of Notes

CS 532: 3D Computer Vision 14 th Set of Notes 1 CS 532: 3D Computer Vision 14 th Set of Notes Instructor: Philippos Mordohai Webpage: www.cs.stevens.edu/~mordohai E-mail: Philippos.Mordohai@stevens.edu Office: Lieb 215 Lecture Outline Triangulating

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 11, NOVEMBER /$25.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 11, NOVEMBER /$25. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 11, NOVEMBER 2008 2007 Multilayer Obstacle-Avoiding Rectilinear Steiner Tree Construction Based on Spanning Graphs

More information

Bottleneck Steiner Tree with Bounded Number of Steiner Vertices

Bottleneck Steiner Tree with Bounded Number of Steiner Vertices Bottleneck Steiner Tree with Bounded Number of Steiner Vertices A. Karim Abu-Affash Paz Carmi Matthew J. Katz June 18, 2011 Abstract Given a complete graph G = (V, E), where each vertex is labeled either

More information

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Suchandra Banerjee Anand Ratna Suchismita Roy mailnmeetsuchandra@gmail.com pacific.anand17@hotmail.com suchismita27@yahoo.com

More information

Alternate Algorithm for DSM Partitioning

Alternate Algorithm for DSM Partitioning lternate lgorithm for SM Partitioning Power of djacency Matrix pproach Using the power of the adjacency matrix approach, a binary SM is raised to its n th power to indicate which tasks can be traced back

More information

Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles

Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles Routing Tree Construction with Buffer Insertion under Buffer Location Constraints and Wiring Obstacles Ying Rao, Tianxiang Yang University of Wisconsin Madison {yrao, tyang}@cae.wisc.edu ABSTRACT Buffer

More information

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup Yan Sun and Min Sik Kim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington

More information

Some Open Problems in Graph Theory and Computational Geometry

Some Open Problems in Graph Theory and Computational Geometry Some Open Problems in Graph Theory and Computational Geometry David Eppstein Univ. of California, Irvine Dept. of Information and Computer Science ICS 269, January 25, 2002 Two Models of Algorithms Research

More information

Graph Theory(Due with the Final Exam)

Graph Theory(Due with the Final Exam) Graph Theory(ue with the Final Exam) Possible Walking Tour.. Is it possible to start someplace(either in a room or outside) and walk through every doorway once and only once? Explain. If it is possible,

More information

Fault-Tolerant Routing Algorithm in Meshes with Solid Faults

Fault-Tolerant Routing Algorithm in Meshes with Solid Faults Fault-Tolerant Routing Algorithm in Meshes with Solid Faults Jong-Hoon Youn Bella Bose Seungjin Park Dept. of Computer Science Dept. of Computer Science Dept. of Computer Science Oregon State University

More information

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Chris C.N. Chu and Evangeline F.Y. Young Abstract Many previous works on floorplanning with non-rectangular modules [,,,,,,,,,,,

More information

Hierarchical Exact Symbolic Analysis of Large Analog Integrated Circuits By Symbolic Stamps

Hierarchical Exact Symbolic Analysis of Large Analog Integrated Circuits By Symbolic Stamps Hierarchical Exact Symbolic Analysis of Large Analog Integrated ircuits By Symbolic Stamps Hui Xu, Guoyong Shi and Xiaopeng Li School of Microelectronics, Shanghai Jiao Tong Univ. Shanghai, hina ontents

More information

WEEK 1 CLASS NOTES AARON G. CASS

WEEK 1 CLASS NOTES AARON G. CASS WEEK 1 LSS NOTES RON G. SS Sc 250, Spring 2009 aron G. ass epartment of omputer Science Union ollege ELERITY PROLEM celebrity is a person known by all, but who knows nobody. In the celebrity problem, we

More information

The Full Survey on The Euclidean Steiner Tree Problem

The Full Survey on The Euclidean Steiner Tree Problem The Full Survey on The Euclidean Steiner Tree Problem Shikun Liu Abstract The Steiner Tree Problem is a famous and long-studied problem in combinatorial optimization. However, the best heuristics algorithm

More information

Autonomous and Mobile Robotics Prof. Giuseppe Oriolo. Motion Planning 1 Retraction and Cell Decomposition

Autonomous and Mobile Robotics Prof. Giuseppe Oriolo. Motion Planning 1 Retraction and Cell Decomposition Autonomous and Mobile Robotics Prof. Giuseppe Oriolo Motion Planning 1 Retraction and Cell Decomposition motivation robots are expected to perform tasks in workspaces populated by obstacles autonomy requires

More information

Constructive floorplanning with a yield objective

Constructive floorplanning with a yield objective Constructive floorplanning with a yield objective Rajnish Prasad and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 13 E-mail: rprasad,koren@ecs.umass.edu

More information

Configurable Rectilinear Steiner Tree Construction for SoC and Nano Technologies

Configurable Rectilinear Steiner Tree Construction for SoC and Nano Technologies Configurable Rectilinear Steiner Tree Construction for SoC and Nano Technologies Iris Hui-Ru Jiang and Yen-Ting Yu Department of Electronics Engineering & Institute of Electronics National Chiao Tung University,

More information

λ-oat: λ-geometry Obstacle-Avoiding Tree Construction With O(n log n) Complexity

λ-oat: λ-geometry Obstacle-Avoiding Tree Construction With O(n log n) Complexity IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 11, NOVEMBER 2007 2073 there are multiple types of buffers and the candidate locations for buffering are specified.

More information

(Refer Slide Time: 00:02:00)

(Refer Slide Time: 00:02:00) Computer Graphics Prof. Sukhendu Das Dept. of Computer Science and Engineering Indian Institute of Technology, Madras Lecture - 18 Polyfill - Scan Conversion of a Polygon Today we will discuss the concepts

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij COUPLING AWARE ROUTING Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer Engineering Northwestern University kastner,elib,majid@ece.northwestern.edu ABSTRACT

More information

A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm

A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm Yiu-Chung Wong Rio Design Automation Santa Clara, CA 95054 Email: ycwong@rio-da.com Chris Chu Iowa State University Ames, IA 50011 Email:

More information

Coverage and Search Algorithms. Chapter 10

Coverage and Search Algorithms. Chapter 10 Coverage and Search Algorithms Chapter 10 Objectives To investigate some simple algorithms for covering the area in an environment To understand how break down an environment in simple convex pieces To

More information

Buffered Routing Tree Construction Under Buffer Placement Blockages

Buffered Routing Tree Construction Under Buffer Placement Blockages Buffered Routing Tree Construction Under Buffer Placement Blockages Abstract Interconnect delay has become a critical factor in determining the performance of integrated circuits. Routing and buffering

More information

Approximating Fault-Tolerant Steiner Subgraphs in Heterogeneous Wireless Networks

Approximating Fault-Tolerant Steiner Subgraphs in Heterogeneous Wireless Networks Approximating Fault-Tolerant Steiner Subgraphs in Heterogeneous Wireless Networks Ambreen Shahnaz and Thomas Erlebach Department of Computer Science University of Leicester University Road, Leicester LE1

More information

A Storage Management for Mining Object Moving Patterns in Object Tracking Sensor Networks

A Storage Management for Mining Object Moving Patterns in Object Tracking Sensor Networks Storage Management for Mining Object Moving Patterns in Object Tracking Sensor Networks hih-hieh Hung and Wen-hih Peng epartment of omputer Science National hiao Tung University Hsinchu, Taiwan, RO -mail:{hungcc@csie.nctu.edu.tw,

More information

An Efficient Rectilinear Steiner Minimum Tree Algorithm Based on Ant Colony Optimization*

An Efficient Rectilinear Steiner Minimum Tree Algorithm Based on Ant Colony Optimization* An Efficient Rectilinear Steiner Minimum Tree Algorithm Based on Ant Colony Optimization* Yu Hu, Tong Jing, Xianlong Hong, Zhe Feng Tsinghua University Beiing 100084, P. R. China Email: matrix98@mails.tsinghua.edu.cn

More information

Lecture 3: Art Gallery Problems and Polygon Triangulation

Lecture 3: Art Gallery Problems and Polygon Triangulation EECS 396/496: Computational Geometry Fall 2017 Lecture 3: Art Gallery Problems and Polygon Triangulation Lecturer: Huck Bennett In this lecture, we study the problem of guarding an art gallery (specified

More information

Systems of Equations and Inequalities. Copyright Cengage Learning. All rights reserved.

Systems of Equations and Inequalities. Copyright Cengage Learning. All rights reserved. 5 Systems of Equations and Inequalities Copyright Cengage Learning. All rights reserved. 5.5 Systems of Inequalities Copyright Cengage Learning. All rights reserved. Objectives Graphing an Inequality Systems

More information

Computational Geometry

Computational Geometry Motivation Motivation Polygons and visibility Visibility in polygons Triangulation Proof of the Art gallery theorem Two points in a simple polygon can see each other if their connecting line segment is

More information

Layer Assignment for Reliable System-on-Package

Layer Assignment for Reliable System-on-Package Layer Assignment for Reliable System-on-Package Jacob R. Minz and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, GA 30332-0250 {jrminz,limsk}@ece.gatech.edu

More information

WHAT YOU SHOULD LEARN

WHAT YOU SHOULD LEARN GRAPHS OF EQUATIONS WHAT YOU SHOULD LEARN Sketch graphs of equations. Find x- and y-intercepts of graphs of equations. Use symmetry to sketch graphs of equations. Find equations of and sketch graphs of

More information

Three-Dimensional Orthogonal Graph Drawing with Optimal

Three-Dimensional Orthogonal Graph Drawing with Optimal Discrete and omputational Geometry manuscript No (will be inserted by the editor) Three-Dimensional Orthogonal Graph Drawing with Optimal Volume Therese Biedl, Torsten Thiele, David R Wood Department of

More information

c 2011 Yun Wei Chang

c 2011 Yun Wei Chang c 2011 Yun Wei Chang SINGLE-LAYER BUS ROUTING FOR HIGH-SPEED BOARDS BY YUN WEI CHANG THESIS Submitted in partial fulfillment of the requirements for the degree of Master of Science in Electrical and Computer

More information

a. If an insect is a butterfly, then it has four wings b. Four angles are formed if two lines intersect

a. If an insect is a butterfly, then it has four wings b. Four angles are formed if two lines intersect Geometry Unit 1 Part 1 Test Review Name: ate: Period: Part I efinitions, Postulates, Formulas, and Theorems Point Inductive Reasoning onditional Statement Postulate Line onjecture hypothesis Segment ddition

More information

T. Biedl and B. Genc. 1 Introduction

T. Biedl and B. Genc. 1 Introduction Complexity of Octagonal and Rectangular Cartograms T. Biedl and B. Genc 1 Introduction A cartogram is a type of map used to visualize data. In a map regions are displayed in their true shapes and with

More information

G 6i try. On the Number of Minimal 1-Steiner Trees* Discrete Comput Geom 12:29-34 (1994)

G 6i try. On the Number of Minimal 1-Steiner Trees* Discrete Comput Geom 12:29-34 (1994) Discrete Comput Geom 12:29-34 (1994) G 6i try 9 1994 Springer-Verlag New York Inc. On the Number of Minimal 1-Steiner Trees* B. Aronov, 1 M. Bern, 2 and D. Eppstein 3 Computer Science Department, Polytechnic

More information

An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation*

An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation* An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation* Yingxin Pang Dept.ofCSE Univ. of California, San Diego La Jolla, CA 92093 ypang@cs.ucsd.edu Chung-Kuan Cheng Dept.ofCSE

More information

Lecture 12: Grids Steven Skiena. skiena

Lecture 12: Grids Steven Skiena.   skiena Lecture 12: Grids Steven Skiena Department of Computer Science State University of New York Stony Brook, NY 11794 4400 http://www.cs.sunysb.edu/ skiena Rectilinear Grids Rectilinear grids are typically

More information

Derrick Stolee. April 12,

Derrick Stolee. April 12, 1 / 38 University Of Nebraska Lincoln Computer Science & Mathematics April 12, 2008 s-dstolee1@math.unl.edu 2 / 38 Acknowledgments Research Assistance and Funding This research funded by UCARE USDA FCIC/RMA

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY 2000 267 Short Papers Hypergraph Partitioning with Fixed Vertices Charles J. Alpert, Andrew E. Caldwell,

More information

Robot Motion Planning Using Generalised Voronoi Diagrams

Robot Motion Planning Using Generalised Voronoi Diagrams Robot Motion Planning Using Generalised Voronoi Diagrams MILOŠ ŠEDA, VÁCLAV PICH Institute of Automation and Computer Science Brno University of Technology Technická 2, 616 69 Brno CZECH REPUBLIC Abstract:

More information

Manhattan or Non-Manhattan? - A Study of Alternative VLSI Routing Architectures

Manhattan or Non-Manhattan? - A Study of Alternative VLSI Routing Architectures Purdue University Purdue e-pubs ECE Technical Reports Electrical and Computer Engineering 4-1-1999 Manhattan or Non-Manhattan? - A Study of Alternative VLSI Routing Architectures Cheng-Kok Koh Purdue University

More information

CS612 Algorithms for Electronic Design Automation

CS612 Algorithms for Electronic Design Automation CS612 Algorithms for Electronic Design Automation Lecture 8 Network Flow Based Modeling 1 Flow Network Definition Given a directed graph G = (V, E): Each edge (u, v) has capacity c(u,v) 0 Each edge (u,

More information

Fault-Tolerant Routing in Fault Blocks. Planarly Constructed. Dong Xiang, Jia-Guang Sun, Jie. and Krishnaiyan Thulasiraman. Abstract.

Fault-Tolerant Routing in Fault Blocks. Planarly Constructed. Dong Xiang, Jia-Guang Sun, Jie. and Krishnaiyan Thulasiraman. Abstract. Fault-Tolerant Routing in Fault Blocks Planarly Constructed Dong Xiang, Jia-Guang Sun, Jie and Krishnaiyan Thulasiraman Abstract A few faulty nodes can an n-dimensional mesh or torus network unsafe for

More information

A Geometric Approach to the Bisection Method

A Geometric Approach to the Bisection Method Geometric pproach to the isection Method laudio Gutierrez 1, Flavio Gutierrez 2, and Maria-ecilia Rivara 1 1 epartment of omputer Science, Universidad de hile lanco Encalada 2120, Santiago, hile {cgutierr,mcrivara}@dcc.uchile.cl

More information

Approximating Node-Weighted Multicast Trees in Wireless Ad-Hoc Networks

Approximating Node-Weighted Multicast Trees in Wireless Ad-Hoc Networks Approximating Node-Weighted Multicast Trees in Wireless Ad-Hoc Networks Thomas Erlebach Department of Computer Science University of Leicester, UK te17@mcs.le.ac.uk Ambreen Shahnaz Department of Computer

More information

Roadmap Methods vs. Cell Decomposition in Robot Motion Planning

Roadmap Methods vs. Cell Decomposition in Robot Motion Planning Proceedings of the 6th WSEAS International Conference on Signal Processing, Robotics and Automation, Corfu Island, Greece, February 16-19, 007 17 Roadmap Methods vs. Cell Decomposition in Robot Motion

More information

An Efficient Routing Tree Construction Algorithm with Buffer Insertion, Wire Sizing and Obstacle Considerations

An Efficient Routing Tree Construction Algorithm with Buffer Insertion, Wire Sizing and Obstacle Considerations An Efficient Routing Tree Construction Algorithm with uffer Insertion, Wire Sizing and Obstacle Considerations Sampath Dechu Zion Cien Shen Chris C N Chu Physical Design Automation Group Dept Of ECpE Dept

More information

Chapter 6 Detailed Routing

Chapter 6 Detailed Routing hapter 6 Detailed Routing 6.1 Terminology 6.2 Horizontal and Vertical onstraint Graphs 6.2.1 Horizontal onstraint Graphs 6.2.2 Vertical onstraint Graphs 6.3 hannel Routing lgorithms 6.3.1 Left-Edge lgorithm

More information

TCOM 501: Networking Theory & Fundamentals. Lecture 11 April 16, 2003 Prof. Yannis A. Korilis

TCOM 501: Networking Theory & Fundamentals. Lecture 11 April 16, 2003 Prof. Yannis A. Korilis TOM 50: Networking Theory & undamentals Lecture pril 6, 2003 Prof. Yannis. Korilis 2 Topics Routing in ata Network Graph Representation of a Network Undirected Graphs Spanning Trees and Minimum Weight

More information

Engineering Drawings Recognition Using a Case-based Approach

Engineering Drawings Recognition Using a Case-based Approach Engineering Drawings Recognition Using a Case-based Approach Luo Yan Department of Computer Science City University of Hong Kong luoyan@cs.cityu.edu.hk Liu Wenyin Department of Computer Science City University

More information

Workshop 2 in Minkowski Geometry: Conic sections Shadowing Euclidean and Minkowski Geometry

Workshop 2 in Minkowski Geometry: Conic sections Shadowing Euclidean and Minkowski Geometry Workshop at IME-10 July 2004 econd part Workshop 2 in Minkowski Geometry: onic sections hadowing Euclidean and Minkowski Geometry In the second part of the workshop we will investigate conic sections in

More information

Mathematics Curriculum

Mathematics Curriculum 6 G R A D E Mathematics Curriculum GRADE 6 5 Table of Contents 1... 1 Topic A: Area of Triangles, Quadrilaterals, and Polygons (6.G.A.1)... 11 Lesson 1: The Area of Parallelograms Through Rectangle Facts...

More information

Shift Invert Coding (SINV) for Low Power VLSI

Shift Invert Coding (SINV) for Low Power VLSI Shift Invert oding (SINV) for Low Power VLSI Jayapreetha Natesan* and Damu Radhakrishnan State University of New York Department of Electrical and omputer Engineering New Paltz, NY, U.S. email: natesa76@newpaltz.edu

More information

6. Dicretization methods 6.1 The purpose of discretization

6. Dicretization methods 6.1 The purpose of discretization 6. Dicretization methods 6.1 The purpose of discretization Often data are given in the form of continuous values. If their number is huge, model building for such data can be difficult. Moreover, many

More information

Critical Area Computation for Missing Material Defects in VLSI Circuits

Critical Area Computation for Missing Material Defects in VLSI Circuits IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 5, MAY 2001 583 Critical Area Computation for Missing Material Defects in VLSI Circuits Evanthia Papadopoulou

More information

Problem Set 3. MATH 778C, Spring 2009, Austin Mohr (with John Boozer) April 15, 2009

Problem Set 3. MATH 778C, Spring 2009, Austin Mohr (with John Boozer) April 15, 2009 Problem Set 3 MATH 778C, Spring 2009, Austin Mohr (with John Boozer) April 15, 2009 1. Show directly that P 1 (s) P 1 (t) for all t s. Proof. Given G, let H s be a subgraph of G on s vertices such that

More information