A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

Size: px
Start display at page:

Download "A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip"

Transcription

1 th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical Engineering, National Dong Hwa University, 1, Sec. 2, Da Hsueh Rd., Shou-Feng, Hualien, 974, Taiwan, R.O.C cch@mail.ndhu.edu.tw Abstract 3D IC process has be a tendency in recent years. But the progress of IC process technologies recently has the related problems. In the 3D NoC architecture, the 3D IC process makes the placement and routing to become more complex. Then, the faults increase because of the more complex architecture. Therefore, we have to study a methodology to solve the problem. At present, the testing approach for NoC interconnect fault is based on the 2D architecture. The 3D simulated tool is not perfect. Therefore, we have to study a feasible method to test 3D architecture. In this paper, we consider how will apply a mature interconnect test approach for the 2D NoC architecture to test the 3D NoC architecture. Then, we are able to achieve the objective for increasing the yield of product through the replacement of defective chips. Index Terms built-in self-test (BIST), interconnect testing, network-on-chip (NoC). 1. Introduction According to Moore s Law, IC process technologies will progress doubled through each 18 months. The fact means a thing that ICs is able to be embedded more blocks of different function in the same size. For integrating a very high number of Intellectual Property (IP) blocks in a single die and having systems with intensive parallel communication requirement, it has emerged as a revolutionary methodology to using the Network-on-Chip (NoC) architectures [1]. The NoC architecture is able to increase performance of the SoC (System-on-Chip). It outperforms more mainstream bus architectures. At present, the conventional 2D IC has limited the choices for floor planning. And consequently, it restrains the performance improvement for using the NoC architectures. According to the International Technology Roadmap for Semiconductors (ITRS) for the longer term, new interconnect paradigms are in need [2]. Recent works have already a revolutionary methodology to solve these problems. That is introduction of 3D IC. One major advantage of the 3D IC paradigm is that it allows for the integration of dissimilar technologies, e.g., memory, analog, MEMS, and so forth, in a single die. 3D ICs improve the performance of microprocessors by forming a processor and memory stack. 3D IC has emerged better performance, functionality, and packaging density compared to more traditional 2D IC. Current NoCs are implemented predominantly following 2D architectures. However, the emergence of 3D ICs will present a fundamental change. 3D NoC has better transmission distance and number of transmission channel on the communication infrastructure than 2D NoC. It makes the 3D NoC to be better throughput, latency, energy dissipation, and wiring area overhead more than using the 2D NoC. because the distance is very short between each layers in the 3D NoC, it can embedded more blocks under the circumstance that size of the die have not more change. However increasing dramatically in the number of blocks and interconnects has made the all structure to be complex. It leads to increase the fault probability and make the yield of chips to decrease. Therefore, a methodology for /10 $ IEEE DOI /DFT

2 detecting the 3D NoC is more needed at present. But the recent study is almost based on the 2D NoC [3], [4], [5]. And consequently, this paper is aimed to study how to use a mature 2D NoC test strategy on the 3D NoC. 2. Test consideration 2.1 NoC testing approach The test of a NoC-based SoC for manufacturing defects is usually divided into two parts: the test of the cores and the test of the communication infrastructure [3]. The test of the cores is usually based on the reuse of the NoC as TAM, to avoid the burden of adding extra hardware for a dedicated test bus. Recent works have been addressing the test of the NoC infrastructure, including routers [6]-[9] and interconnect channels [10], [11]. Interconnect testing in NoCbased chips has been related to faults in wires within a single channel connecting two adjacent routers. However, this assumption is not reasonable in large NoC layouts. Considering realistic NoC layouts [12], the placement and routing of routers and channels are actually prone to even simpler faults, such as shorts between wires connecting the core to the network and between wires of distinct network channels. Grecu et al. [10] propose a built-in self-test (BIST) methodology for testing the channels of the communication platform. The proposed methodology targets crosstalk faults. The problem of detecting short faults in interconnection has been widely studied [3], [4], [5]. The most of the works are aimed at detecting faults for interconnects between two adjacent routers. Some studies have proposed to insert the BIST block in the router. However, setting the BIST block in the router make some faults to do not detected between the core and router. Therefore, another research is embeding the BIST block in the NI (network interface) of core. It can accomplish the object for testing all interconnect. The test strategy is based on two BIST blocks: the test data generator (TDG) and the test response analyzer (TRA). TDG generates the test vectors to transmit in the NoC. TRA receives the test vectors from the NoC and detect whether they occur faults. 2.2 Fault model definition When considering short faults in the NoC, it is important to define the region where the faults may occur, i.e., which links will more likely be short circuited. However, considering that all possible wires can be faulty might not be realistic. The number of faults grows exponentially with the number of wires considered, as shown in (1) for n, the number of independent wires, and k, the size of each fault group [2]. n! Cnk (, ) = k!( n k)! (1) In the worst-case scenario, we suppose the short faults can occur between any two interconnects. Short faults include two kinds of AND-short and OR-short, as shown in Fig. 1. They will make information packet to change the path or information flit to generate error. In this test work, considering the test difficulty and structure scalability, we suppose a Stacked Mesh NoC to be a most minimum search space for test structure. It has 56 links, and the channel is 8 bits. 123

3 Figure 1. Fault model. 3. Proposed methodology At present, mature NoC technology refers to the 2D NoC scenario, whereas 3D NoC architectures have simulation tools still in a preliminary stage, and no complete testing plan. Therefore, considering how to take testing method for the 2D NoC interconnects to apply on the 3D NoC is more easy and feasible. According to the conception of 3D space, we know that 3D space is comprised of three kinds of 2D plane. As shown in Fig. 2, when we observe the Stacked Mesh NoC by the conception, we will discover that Stacked Mesh NoC is able to also be partitioned into three kinds of 2D structures. We use this discovery to apply to the testing Stacked Mesh NoC and get the result as Fig. 3. In other words, we are able to achieve the test objective by partition 3D to 2D planes. After observing Fig. 3, we are able to choose any two kinds of plane to do testing. It have the same effect as testing a complete Stacked Mesh NoC. In this work, we choose the Y-Z plane and Z-X plane to do testing, because the two kinds of plane have same test structure. Figure 2. 3D space is comprised of three kinds of 2D plane. Figure 3. A Stacked Mesh NoC is partitioned into three kinds of 2D structures. 124

4 For this work, the number of transmission paths is 4, as shown in Fig. 4. The number of total links is 24. Because of the property of 3D structure, the faults must be calculated separately on each floor. According to (1), this test structure is most possible to generate 6,560 faults. The TDG in the core will transmit a test vector. It consists of the header and data. The header has the related information flits about path. It is able to be modified the path by shifting. Therefore, if interconnects occur the short fault, it will make the flit to change, and lead the transmission path to change. And the test vector in the data will be changed by the short fault. It will occur to the data error. There are two situations about detecting fault on the TRA. First one, the change of path information for the header causes the test sequence to arrive the wrong target core. The fault is defined as time_out. Another, the test vectors for the data what the TRA receives are error. The fault is defined as data_error. But, some faults do not change the flit or affect the path. The flit is 8 bits. The bits for 0, 1, and 4 control the direction. The bits 3 and 7 control the number of shift. And consequently, the bits 2, 5, and 6 do not directly affect the path. In other words, the transformations of bits 2, 5, and 6 do not change the path. Figure 4. Test transmission structure for Z-X plane. In this work, we use the C code to simulate the running state of test structure. Fig. 5 shows is the working flowchart. Test steps are listed as follows: 1. TDG generates the 8 bit test sequence. 2. Inject the short fault into the test structure. 3. Short fault affect the test sequence. 4. Header is modified the path, and data is changed the test vectors. 5. TRA receives the test sequence and detects fault. For example, we transmit the test sequence from core 1 to core 7 in Fig. 4. The path information of flit in the header is set to The bits 0 and 1 control the direction of move for east (E), west (W), south (S), and north (N). The bit 3 controls the number of shift for E, W, S, and N. The bit 4 controls the direction of move for up (U) and down (D). The bit 7 controls the number of shift for U and D. When the test sequence transmits in the test structure, the router can detect the path information of the header. At first, router detects the value of the bit 3. If the bit 3 is 1, router will make the test sequence to shift according to the direction of the representative of the bits 0 and 1. If the bit 3 is 0, router will detect the value of the bit 7 to determine whether to shift 125

5 U and D direction. If the bits 3 and 7 are 0, router will transmit the test sequence to the core that the router connects. Under normal circumstances, when the header arrive the goal core 7, the information of flit is After we inject the short faults into the test structure, TRA receives the test sequence and will detect two error situations. First one, the short faults change the flit (e.g ) to affect the path. That leads the test sequence to arrive the wrong core. After the set time, TRA in the original target core think the test sequence loss, and decide the fault is time_out. Second one, the short faults do not change the flit of header, or change the flit of header (e.g ), but the path is not affected. The test sequence still reaches target core 7. But the test vectors of data in the test sequence are changed by the short faults. When TRA compares the test vectors of data, TRA will know what the test vectors of data are wrong, and decide the fault is data_error. 4. Experimental results Figure 5. Working flowchart. In the simulation test, we divide the short faults into two kinds of AND-short and OR-short to test. Because we randomly select the location of short faults, a problem is that select the repeated short faults. Therefore, we inject 8,000 faults into the test plane to reduce the problem for the repeated selection. That will make the result to close to the actual situation. Then we average the test results of two kinds of plane. The average result is equivalent to the test fault coverage of a Stacked Mesh NoC. Table 1 and table 2 present the simulation result when the faults are divided into AND-short and OR-short. The test results are two kinds of time_out and data_error. However, the test vectors are sure to be changed because the short faults affect the data. Therefore, the test sequence that happen the time_out fault also generates the data_error fault. In this test, we only use the time_out on behalf of this fault case. As shown in table 1 and table 2, we discover that the incidence of time_out is higher in the OR-short case. The reason is the property of AND-short and OR-short. AND-short faults have 75 percent probability to change the value to 0, and ORshort faults have 75 percent probability to change the value to 1. The value that changed to 1 is more likely to affect the path of test sequence according to the header format. In the simulation process, we discover when the flit of header has a similar number of 0 and 1, it will increase the incidence of time_out fault. Therefore, this is the reason that the incidence of time_out fault on the Z-X plane is higher than Y-Z plane. However, if we changed the header format, the result will be different. 126

6 Table 1. Testing fault coverage analysis for AND-short Time_out Data_error only Total detected Test area Inject 8000 faults at each plane Y-Z plane (1) 538 (6.72%) 7462 (93.28%) 8000 (100%) Y-Z plane (2) 563 (7.04%) 7437 (92.96%) 8000 (100%) Z-X plane (1) 587 (7.34%) 7413 (92.66%) 8000 (100%) Z-X plane (2) 558 (6.98%) 7442 (93.02%) 8000 (100%) Stacked Mesh NoC 2246 (7.02%) (92.98%) (100%) Table 2. Testing fault coverage analysis for OR-short Time_out Data_error only Total detected Test area Inject 8000 faults at each plane Y-Z plane (1) 1326 (16.53%) 6674 (83.42%) 8000 (100%) Y-Z plane (2) 1281 (16.01%) 6719 (83.98%) 8000 (100%) Z-X plane (1) 1510 (18.88%) 6490 (81.12%) 8000 (100%) Z-X plane (2) 1558 (19.48%) 6442 (80.52%) 8000 (100%) Stacked Mesh NoC 5675 (17.73%) (82.27%) (100%) 5. Conclusions In this test work, we discover that a 3D architecture is just a rule arrangement as the mesh NoC. Then we are able to use the conception of 3D space in this test work to partition it. Therefore, we are able to use the test approach for 2D to do test we need. And the test is not limited to short fault. The simulation results show we take a 2D testing approach for using the BIST methodology to test the 3D structure that is feasible. We note that the probability of the test sequence that is affected is bigger if the information flit of header and test vectors of data are more complex. In addition, the selection for test plane will be different according to used the test structure and the definition of the fault model. In the end, if we obtain the high fault coverage, we are will achieve the objective for increasing the yield of product through the replacement of defective chips. 6. References [1] P. Guerrier and A. Greiner, A Generic Architecture for On-Chip Packet-Switched Interconnections, Proc. Conf. Design, Automation and Test in Europe, pp , [2] B. S. Feero and P. P. Pande, "Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation", IEEE Transactions on Computers, Vol. 58, No. 1, January 2009, pp [3] E. Cota, F. L. Kastensmidt, L. Fernanda, M. Cassel, M. Hervé, P. Almeida, P. Meirelles, A. Amory and M. Lubaszewski, A High-Fault-Coverage Approach for the Test of Data, Control and Handshake Interconnects in Mesh Networks-on-Chip, Computers, IEEE Transactions on Volume 57, Issue 9, pp , Sep [4] E. Cota, F.L. Kastensmidt, M. Cassel, P. Meirelles, A. Amory, and M. Lubaszewski, Redefining and Testing Interconnect Faults in Mesh NoCs, Proc. IEEE Int l Test Conf., pp. 1-10, [5] M. B. Herve, E. Cota, F. L. Kastensmidt and M. Lubaszewski, NoC Interconnection Functional Testing: Using Boundary-Scan to Reduce the Overall Testing Time IEEE 10th Latin American Test Workshop (LATW '09), pp. 1-6, [6] A.M. Amory, E. Briao, E. Cota, M. Lubaszewski, and F.G. Moraes, A Scalable Test Strategy for Networkon-Chip Routers, Proc. IEEE Int l Test Conf., p. 9, [7] K. Stewart and S. Tragoudas, Interconnect Testing for Networks on Chips, Proc. 24th IEEE VLSI Test Symp., p. 6,

7 [8] C. Grecu, P. Pande, B. Wang, A. Ivanov, and R. Saleh, Methodologies and Algorithms for Testing Switch- Based NoC Interconnects, Proc. 20th IEEE Int l Symp. Defect and Fault Tolerance in VLSI Systems, pp , [9] J. Raik, V. Govind, and R. Ubar, An External Test Approach for Network-on-a-Chip Switches, Proc. 15th Asian Test Symp., pp , [10] C. Grecu, P. Pande, A. Ivanov, and R. Saleh, BIST for Network-on-Chip Interconnect Infrastructures, Proc. 24th IEEE VLSI Test Symp., p. 6, [11] P.P. Pande, A. Ganguly, B. Feero, B. Belzer, and C. Grecu, Design of Low Power and Reliable Networks on Chip through Joint Crosstalk Avoidance and Forward Error Correction Coding, Proc. 21st IEEE Int l Symp. Defect and Fault Tolerance in VLSI Systems, pp , [12] F. Angiolini, P. Meloni, S. Carta, L. Benini, and L. Raffo, Contrasting a NoC and a Traditional Interconnect Fabric with Layout Awareness, Proc. Int l Conf. Design, Automation and Test in Europe, pp. 1-6,

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 1219 Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo

More information

Fault-Tolerant Techniques to Manage Yield and Power Constraints in Network-on-Chip Interconnections

Fault-Tolerant Techniques to Manage Yield and Power Constraints in Network-on-Chip Interconnections Fault-Tolerant Techniques to Manage Yield and Power Constraints in Network-on-Chip Interconnections Anelise Kologeski, Caroline Concatto, Fernanda Lima Kastensmidt, Luigi Carro PGMICRO - PPGC - Instituto

More information

Efficient And Advance Routing Logic For Network On Chip

Efficient And Advance Routing Logic For Network On Chip RESEARCH ARTICLE OPEN ACCESS Efficient And Advance Logic For Network On Chip Mr. N. Subhananthan PG Student, Electronics And Communication Engg. Madha Engineering College Kundrathur, Chennai 600 069 Email

More information

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Multi Core Chips No more single processor systems High computational power requirements Increasing clock frequency increases power dissipation

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

A scalable built-in self-recovery (BISR) VLSI architecture and design methodology for 2D-mesh based on-chip networks

A scalable built-in self-recovery (BISR) VLSI architecture and design methodology for 2D-mesh based on-chip networks Des Autom Embed Syst (2011) 15:111 132 DOI 10.1007/s10617-011-9074-6 A scalable built-in self-recovery (BISR) VLSI architecture and design methodology for 2D-mesh based on-chip networks Kun-Chih Chen Shu-Yen

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

BISTed cores and Test Time Minimization in NOC-based Systems

BISTed cores and Test Time Minimization in NOC-based Systems BISTed cores and Test Time Minimization in NOC-based Systems Érika Cota 1 Luigi Carro 1,2 Flávio Wagner 1 Marcelo Lubaszewski 1,2 1 PPGC - Instituto de Informática 2 PPGEE - Depto. Engenharia Elétrica

More information

A Scalable and Parallel Test Access Strategy for NoC-based Multicore System

A Scalable and Parallel Test Access Strategy for NoC-based Multicore System A Scalable and Parallel Test Access Strategy for NoC-based Multicore System Taewoo Han, hyuk Choi, Hyunggoy Oh, Sungho Kang Department of Electrical and Electronic Engineering Computer systems & reliable

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

ISSN Vol.04,Issue.01, January-2016, Pages:

ISSN Vol.04,Issue.01, January-2016, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.04,Issue.01, January-2016, Pages:0077-0082 Implementation of Data Encoding and Decoding Techniques for Energy Consumption Reduction in NoC GORANTLA CHAITHANYA 1, VENKATA

More information

Configurable Error Control Scheme for NoC Signal Integrity*

Configurable Error Control Scheme for NoC Signal Integrity* Configurable Error Control Scheme for NoC Signal Integrity* Daniele Rossi Paolo Angelini Cecilia Metra D.E.I.S. University of Bologna Viale Risorgimento 2, 40136 Bologna, Italy {drossi, cmetra}@deis.unibo.it

More information

Fault Tolerant Prevention in FIFO Buffer of NOC Router

Fault Tolerant Prevention in FIFO Buffer of NOC Router Fault Tolerant Prevention in FIFO Buffer of NOC Router Varalakshmi Dandu 1, P. Annapurna Bai 2 Dept. of ECE, St.Mark Educational Society, Affiliated to JNTUA, AP, India 1 Assistant Professor, Dept. of

More information

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems Mohammad Ali Jabraeil Jamali, Ahmad Khademzadeh Abstract The success of an electronic system in a System-on- Chip is highly

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

A Fault Tolerant NoC Architecture for Reliability Improvement and Latency Reduction

A Fault Tolerant NoC Architecture for Reliability Improvement and Latency Reduction 2009 12th Euromicro Conference on Digital System Design / Architectures, Methods and Tools A Fault Tolerant NoC Architecture for Reliability Improvement and Latency Reduction A. Ehsani Zonouz, M. Seyrafi,

More information

Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant Network-on-Chips

Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant Network-on-Chips Available online at www.sciencedirect.com Procedia Engineering 15 (2011) 3406 3410 Advanced in Control Engineering and Information Science Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant

More information

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC International Journal of Engineering and Manufacturing Science. ISSN 2249-3115 Volume 8, Number 1 (2018) pp. 65-76 Research India Publications http://www.ripublication.com DESIGN AND IMPLEMENTATION ARCHITECTURE

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

Fault-Tolerant Routing in Fault Blocks. Planarly Constructed. Dong Xiang, Jia-Guang Sun, Jie. and Krishnaiyan Thulasiraman. Abstract.

Fault-Tolerant Routing in Fault Blocks. Planarly Constructed. Dong Xiang, Jia-Guang Sun, Jie. and Krishnaiyan Thulasiraman. Abstract. Fault-Tolerant Routing in Fault Blocks Planarly Constructed Dong Xiang, Jia-Guang Sun, Jie and Krishnaiyan Thulasiraman Abstract A few faulty nodes can an n-dimensional mesh or torus network unsafe for

More information

High Throughput and Low Power NoC

High Throughput and Low Power NoC IJCSI International Journal of Computer Science Issues, Vol. 8, Issue 5, o 3, September 011 www.ijcsi.org 431 High Throughput and Low Power oc Magdy El-Moursy 1, Member IEEE and Mohamed Abdelgany 1 Mentor

More information

PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE

PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE By HAIBO ZHU A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN

More information

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE 1 SOMASHEKHAR, 2 REKHA S 1 M. Tech Student (VLSI Design & Embedded System), Department of Electronics & Communication Engineering, AIET, Gulbarga, Karnataka, INDIA

More information

A Heuristic Search Algorithm for Re-routing of On-Chip Networks in The Presence of Faulty Links and Switches

A Heuristic Search Algorithm for Re-routing of On-Chip Networks in The Presence of Faulty Links and Switches A Heuristic Search Algorithm for Re-routing of On-Chip Networks in The Presence of Faulty Links and Switches Nima Honarmand, Ali Shahabi and Zain Navabi CAD Laboratory, School of ECE, University of Tehran,

More information

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Buffer Loan Algorithm for BiNoC Router Design and Implementation of Buffer Loan Algorithm for BiNoC Router Deepa S Dev Student, Department of Electronics and Communication, Sree Buddha College of Engineering, University of Kerala, Kerala, India

More information

Analyzing the Performance of NoC Using Hierarchical Routing Methodology

Analyzing the Performance of NoC Using Hierarchical Routing Methodology Analyzing the Performance of NoC Using Hierarchical Routing Methodology 1 Bibin Lawrence R, 2 Jency Rubia J 1,2 M.E-VLSI Design 1 rbllbr@gmail.com, 2 jencyrubia@gmail.com Vel Tech Multi Tech Dr.Rangarajan

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil

Test of NoCs and NoC-based Systems-on-Chip. UFRGS, Brazil. A small world... San Diego USA. Porto Alegre Brazil Test of NoCs and NoC-based Systems-on-Chip Érika Cota Marcelo Lubaszewski UFRGS, Brazil 1 A small world... San Diego USA Porto Alegre Brazil 2 1 Where we are 3 Porto Alegre 4 2 Porto Alegre and UFRGS Porto

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip

On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip Ling Wang, Zhihai Guo, Peng Lv Dept. of Computer Science and Technology Harbin Institute of Technology Harbin, China

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics DFT Trends in the More than Moore Era Stephen Pateras Mentor Graphics steve_pateras@mentor.com Silicon Valley Test Conference 2011 1 Outline Semiconductor Technology Trends DFT in relation to: Increasing

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

A Concurrent Testing Method for NoC Switches

A Concurrent Testing Method for NoC Switches A Concurrent Testing Method for NoC Switches Mohammad Hosseinabady, Abbas Banaiyan, Mahdi Nazm Bojnordi, Zainalabedin Navabi Electrical and Computer Engineering, University of Tehran14399 Tehran, Iran

More information

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Hamed S. Kia, and Cristinel Ababei Department of Electrical and Computer Engineering North Dakota State University

More information

FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links

FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links Hoda Naghibi Jouybari College of Electrical Engineering, Iran University of Science and Technology, Tehran,

More information

Implementation of PNoC and Fault Detection on FPGA

Implementation of PNoC and Fault Detection on FPGA Implementation of PNoC and Fault Detection on FPGA Preethi T S 1, Nagaraj P 2, Siva Yellampalli 3 Department of Electronics and Communication, VTU Extension Centre, UTL Technologies Ltd. Abstract In this

More information

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP By JYUN-LYANG CHANG A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

Design and implementation of deadlock free NoC Router Architecture

Design and implementation of deadlock free NoC Router Architecture Design and implementation of deadlock free NoC Router Architecture Rohini 1, Dr.G.R.Udupi 2, G.A.Bidkar 3 1 - Student of M. Tech in Industrial Electronics, 2-Principal, 3- Asst.Prof & HOD E&C Dept KLS

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Temperature and Traffic Information Sharing Network in 3D NoC

Temperature and Traffic Information Sharing Network in 3D NoC , October 2-23, 205, San Francisco, USA Temperature and Traffic Information Sharing Network in 3D NoC Mingxing Li, Ning Wu, Gaizhen Yan and Lei Zhou Abstract Monitoring Network on Chip (NoC) status, such

More information

In-Field Test for Permanent Faults in FIFO Buffers of NoC Routers

In-Field Test for Permanent Faults in FIFO Buffers of NoC Routers IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 1, JANUARY 2016 393 In-Field Test for Permanent Faults in FIFO Buffers of NoC Routers Bibhas Ghoshal, Kanchan Manna, Santanu

More information

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN Comparative Analysis of Latency, Throughput and Network Power for West First, North Last and West First North Last Routing For 2D 4 X 4 Mesh Topology NoC Architecture Bhupendra Kumar Soni 1, Dr. Girish

More information

Testable SOC Design. Sungho Kang

Testable SOC Design. Sungho Kang Testable SOC Design Sungho Kang 2001.10.5 Outline Introduction SOC Test Challenges IEEE P1500 SOC Test Strategies Conclusion 2 SOC Design Evolution Emergence of very large transistor counts on a single

More information

Index Terms FIFO buffers, in-field test, NOC, permanent fault, transparent test. On Line Faults in FIFO Buffers of NOC Routers 1.

Index Terms FIFO buffers, in-field test, NOC, permanent fault, transparent test. On Line Faults in FIFO Buffers of NOC Routers 1. On Line Faults in FIFO Buffers of NOC Routers 1 BALIREDDY MOUNIKA, 2 JENNE HANUMANTHU, 3 G. NAGESHAM 1 PG Scholar, Dept. of ECE, GATES Institute of Technology, Gooty, Anantapuramu, AP, India 2 Associate

More information

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS E.S.D Gireesh Goud 1, Mrs.T.Swetha 2 PG Scholor, DIET, HYD 1, Assistant Professor, DIET, HYD 2 ABSTRACT These designs pose significant

More information

A Literature Review of on-chip Network Design using an Agent-based Management Method

A Literature Review of on-chip Network Design using an Agent-based Management Method A Literature Review of on-chip Network Design using an Agent-based Management Method Mr. Kendaganna Swamy S Dr. Anand Jatti Dr. Uma B V Instrumentation Instrumentation Communication Bangalore, India Bangalore,

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

Dynamic Router Design For Reliable Communication In Noc

Dynamic Router Design For Reliable Communication In Noc Dynamic Router Design For Reliable Communication In Noc Mr. G.Kumaran 1, Ms. S.Gokila, M.E., 2 VLSI Design, Electronics and Comm. Department, Pavai College of Technology, Pachal, Namakkal District, India

More information

Demand Based Routing in Network-on-Chip(NoC)

Demand Based Routing in Network-on-Chip(NoC) Demand Based Routing in Network-on-Chip(NoC) Kullai Reddy Meka and Jatindra Kumar Deka Department of Computer Science and Engineering, Indian Institute of Technology Guwahati, Guwahati, India Abstract

More information

Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms

Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms Usman Mazhar Mirza Master of Science Thesis 2011 ELECTRONICS Postadress: Besöksadress: Telefon: Box 1026

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

Networks-on-Chip Router: Configuration and Implementation

Networks-on-Chip Router: Configuration and Implementation Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan,

More information

On the Physicl Layout of PRDT-Based NoCs

On the Physicl Layout of PRDT-Based NoCs On the Physicl Layout of PRDT-Based NoCs Guoqiang Yang, Mei Yang, Yulu Yang, Yingtao Jiang Department of Computer Science, Nankai University, Tianin, 000, China Department of Electrical and Computer Engineering,

More information

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C.

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS 1 JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS Shabnam Badri THESIS WORK 2011 ELECTRONICS JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

More information

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 14: SoC Testing. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 14: SoC Testing Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Outline Introduction to Testing Importance of SoC Testing Challenges of SoC Testing

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

A Fault Tolerant NoC Architecture Using Quad-Spare Mesh Topology and Dynamic Reconfiguration

A Fault Tolerant NoC Architecture Using Quad-Spare Mesh Topology and Dynamic Reconfiguration A Fault Tolerant oc Architecture Using Quad-Spare Mesh Topology and Dynamic econfiguration Yu E, Leibo LIU *, Shouyi YI, Jie HA 2, Qinghua WU, Shaojun WEI Institute of Microelectronics and the ational

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

A Modified NoC Router Architecture with Fixed Priority Arbiter

A Modified NoC Router Architecture with Fixed Priority Arbiter A Modified NoC Router Architecture with Fixed Priority Arbiter Surumi Ansari 1, Suranya G 2 1 PG scholar, Department of ECE, Ilahia College of Engineering and Technology, Muvattupuzha, Ernakulam 2 Assistant

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

Study of Network on Chip resources allocation for QoS Management

Study of Network on Chip resources allocation for QoS Management Journal of Computer Science 2 (10): 770-774, 2006 ISSN 1549-3636 2006 Science Publications Study of Network on Chip resources allocation for QoS Management Abdelhamid HELALI, Adel SOUDANI, Jamila BHAR

More information

Design-for-Test Approach of an Asynchronous etwork-on-chip Architecture and its Associated Test Pattern Generation and Application

Design-for-Test Approach of an Asynchronous etwork-on-chip Architecture and its Associated Test Pattern Generation and Application Design-for-Test Approach of an Asynchronous etwork-on-chip Architecture and its Associated Test Pattern Generation and Application Xuan-Tu Tran 1, 3, Yvain Thonnart 1, Jean Durupt 1, Vincent Beroulle 2,

More information

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University Design and Test Solutions for Networks-on-Chip Jin-Ho Ahn Hoseo University Topics Introduction NoC Basics NoC-elated esearch Topics NoC Design Procedure Case Studies of eal Applications NoC-Based SoC Testing

More information

Design of an Efficient Communication Protocol for 3d Interconnection Network

Design of an Efficient Communication Protocol for 3d Interconnection Network Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of an Efficient

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Design And Verification of 10X10 Router For NOC Applications

Design And Verification of 10X10 Router For NOC Applications Design And Verification of 10X10 Router For NOC Applications 1 Yasmeen Fathima, 2 B.V.KRISHNAVENI, 3 L.Suneel 2,3 Assistant Professor 1,2,3 CMR Institute of Technology, Medchal Road, Hyderabad, Telangana,

More information

ScienceDirect. Power-Aware Mapping for 3D-NoC Designs using Genetic Algorithms

ScienceDirect. Power-Aware Mapping for 3D-NoC Designs using Genetic Algorithms Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 34 (2014 ) 538 543 2014 International Workshop on the Design and Performance of Networks on Chip (DPNoC 2014) Power-Aware

More information

NoCAlert: An On-Line and Real- Time Fault Detection Mechanism for Network-on-Chip Architectures

NoCAlert: An On-Line and Real- Time Fault Detection Mechanism for Network-on-Chip Architectures NoCAlert: An On-Line and Real- Time Fault Detection Mechanism for Network-on-Chip Architectures Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, and Yiannakis Sazeides University of Cyprus

More information

Fault-adaptive routing

Fault-adaptive routing Fault-adaptive routing Presenter: Zaheer Ahmed Supervisor: Adan Kohler Reviewers: Prof. Dr. M. Radetzki Prof. Dr. H.-J. Wunderlich Date: 30-June-2008 7/2/2009 Agenda Motivation Fundamentals of Routing

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM B.HARIKRISHNA 1, DR.S.RAVI 2 1 Sathyabama Univeristy, Chennai, India 2 Department of Electronics Engineering, Dr. M. G. R. Univeristy, Chennai,

More information

The Design and Implementation of a Low-Latency On-Chip Network

The Design and Implementation of a Low-Latency On-Chip Network The Design and Implementation of a Low-Latency On-Chip Network Robert Mullins 11 th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 24-27 th, 2006, Yokohama, Japan. Introduction Current

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

Design of Efficient Power Reconfigurable Router for Network on Chip (NoC)

Design of Efficient Power Reconfigurable Router for Network on Chip (NoC) Design of Efficient Power Reconfigurable Router for Network on Chip (NoC) J.dhivya 1, J.Jayanthi 1,T.Jayasri 1,G.Karthika devi 1, MrK.B.Sethupathy 2, UG Scholar 1, Assistant Professor 2, Department of

More information

IMPLEMENTATION OF LOW POWER DATA ENCODING TECHNIQUES FOR NoC

IMPLEMENTATION OF LOW POWER DATA ENCODING TECHNIQUES FOR NoC IMPLEMENTATION OF LOW POWER DATA ENCODING TECHNIQUES FOR NoC Swathi.Shivakumar 1 and Prasanna Kumar B. K 2 1,2 VLSI Design and Embedded Systems, Shridevi Institute of Engineering and Technology,Tumkur

More information

Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution

Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution Nishant Satya Lakshmikanth sailtosatya@gmail.com Krishna Kumaar N.I. nikrishnaa@gmail.com Sudha S

More information

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults 1/45 1/22 MICRO-46, 9 th December- 213 Davis, California udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults Ritesh Parikh and Valeria Bertacco Electrical Engineering & Computer

More information

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 5, May 2015, pg.705

More information

Driving 3D Chip and Circuit Board Test Into High Gear

Driving 3D Chip and Circuit Board Test Into High Gear Driving 3D Chip and Circuit Board Test Into High Gear Al Crouch ASSET InterTech, Inc. Emerging Standards and 3D Chip Test Taken independently, the pending ratification of one IEEE standard and the recent

More information

Fully Reliable Dynamic Routing Logic for a Fault-Tolerant NoC Architecture

Fully Reliable Dynamic Routing Logic for a Fault-Tolerant NoC Architecture Fully Reliable Dynamic Routing Logic for a Fault-Tolerant NoC Architecture Abdulaziz Alhussien, Freek Verbeek, Bernard van Gastel, Nader Bagherzadeh and Julien Schmaltz Dept. of Electrical Engineering

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

A New BIST-based Test Approach with the Fault Location Capability for Communication Channels in Network-on-Chip

A New BIST-based Test Approach with the Fault Location Capability for Communication Channels in Network-on-Chip J Electron Test (2017) 33:501 513 DOI 10.1007/s10836-017-5666-9 A New BIST-based Test Approach with the Fault Location Capability for Communication Channels in Network-on-Chip Babak Aghaei 1 & Ahmad Khademzadeh

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information