D 8.4 Workshop Report

Size: px
Start display at page:

Download "D 8.4 Workshop Report"

Transcription

1 Project Number D 8.4 Workshop Report Version July 2014 Final Public Distribution Denmark Technical University, Eindhoven University of Technology, Technical University of Vienna, The Open Group, University of York Project Partners: AbsInt Angewandte Informatik, Eindhoven University of Technology, GMVIS Skysoft, Intecs, Technical University of Denmark, The Open Group, University of York, Vienna University of Technology Every effort has been made to ensure that all statements and information contained herein are accurate, however the Partners accept no liability for any error or omission in the same Copyright in this document remains vested in the T-CREST Project Partners.

2 DOCUMENT CONTROL Version Status Date 1.0 Collection of materials from first workshop at HiPEAC 22 February Inclusion of Madrid ERTS workshop materials 30 July 2014 Page ii Version July 2014

3 TABLE OF CONTENTS 1. Introduction Workshop at HiPEAC Conference Agenda Presentation: Overview of the T-CREST Project Presentation: Time-predictable Processor and Network-on-Chip Presentation: Time-predictable Memory Hierarchy and SDRAM Controller Presentation: Compiler and WCET Analysis Tool Chain Workshop at ECRTS Conference Agenda Presentation: T-CREST: Time-predictable Multi-Core Architecture for Embedded Systems Presentation: Improving the average-case using worst-case aware prefetching Presentation: Argo: A Real-Time Network-on-Chip Architecture with an Efficient GALS Implementation Presentation: Function Splitting for the Patmos Method Cache Presentation: Single-Path Code Generation and Input-Data Dependence Presentation: Time-Predictable Caching of Stack Data Presentation: Branching in the time-predictable processor Patmos Conclusion July 2014 Version 2.0 Page iii

4 EXECUTIVE SUMMARY This report provides a summary of two workshops that were carried out by the T- CREST to disseminate information about the technology innovations that have been developed for European software developers and platform providers. The report includes the agendas and presentation materials from each workshop. The workshops were organised in collaboration with other larger events to achieve broader reach and to benefit from the infrastructures provided for organisation of events. Page iv Version July 2014

5 1. INTRODUCTION This report provides an overview and documents the materials that were presented at two separate workshops that have been organised by the T-CREST project to create greater awareness of the project results. A first workshop was conducted in the second year of the project at the HiPEAC Conference 1 (European Network of Excellence on High Performance and Embedded Architecture and Compilation) in Berlin on 22 January 2013, where initial development results in the project being presented. A second workshop was conducted at the ECRTS Conference 2 (Euromicro Conference on Real-Time Systems) in Madrid on 10 July 2014, where final technology results were presented and information concerning access to the open source results from the project was provided. The T-CREST partners carried out both workshops in collaboration with other European Commission funded projects. The HiPEAC workshop in 2013 was conducted jointly with the following projects: parmerasa 3 : Multi-core execution of parallelised hard real-time applications supporting analysability; and PROARTIS 4 : Probabilistically analysable real-time systems Each project is addressing related technology challenges for embedded systems development. By collaborating in conducting a joint workshop, the projects were able to establish closer links while providing a broader view of the technology advances being addressed with the support of the European Commission thereby attracting a larger audience. The ECRTS workshop was carried out in collaboration with the following projects: parmerasa: Multi-core execution of parallelised hard real-time applications supporting analysability; and CERTAINTY 5 : Certification of real-time applications designed for mixed criticality The joint workshop with these projects created an opportunity for closer collaboration with the CERTAINTY project while further strengthening ties with parmerasa. The following sections summarises the materials that were presented at each of the workshops. 1 Conference information is available at: 2 Conference information is available at: ecrts.eit.uni-kl.de/ecrts14 3 See for more information about the parmerasa project. 4 See for more information about the PROARTIS project. 5 See for more information about the CERTAINTY project. 30 July 2014 Version 2.0 Page 1

6 2. WORKSHOP AT HIPEAC CONFERENCE The following sections provide the Agenda and presentations that were utilised for the T-CREST workshop held at the HiPEAC Conference in Berlin on 22 January AGENDA The following agenda was utilised for the workshop conducted as part of the HiPEAC Conference on 22 January 2012, at Berlin, Germany. Agenda Session 1: Introduction of the EU FP7 projects (10:00-11:00) Session chairs: Sascha Uhrig, Technical University of Dortmund Francisco J. Cazorla, Barcelona Supercomputing Center parmerasa Overview Theo Ungerer, University of Augsburg Overview of the T-CREST Project Jens Sparsø, Technical University of Denmark Introduction to PROARTIS Francisco J. Cazorla, Barcelona Supercomputing Center Session 2: Hardware Architectures (11:30-13:00) Session chair: Christian El-Salloum, Technical University of Vienna parmerasa Hardware Architecture Eduardo Quiñones, Barcelona Supercomputing Center T-CREST Time-predictable Processor and Network-on-Chip Jens Sparsø, Technical University of Denmark T-CREST Time-predictable Memory Hierarchy and SDRAM Controller Kees Goosens, Technical University of Eindhoven PROARTIS Hardware Architectural Solutions Francisco J. Cazorla, Barcelona Supercomputing Center Lunch (13:00 14:00) Session 3: Timing Analysis Support (14:00-16:00) Session chair: Jan Reineke, Saarland University PROARTIS WCET Analysis Techniques and Tools Adriana Gogonel, INRIA and Ian Broster, Rapita Systems parmerasa WCET Analysis Tools Haluk Ozaktas, University Paul Sabatier Toulouse and Ian Broster, Rapita Systems T-CREST Compiler and WCET Analysis Tool Chain Peter Puschner, Technical University of Vienna and Gernot Gebhard, AbsInt Session 4: System and Application Software (16:30-17:30) Page 2 Version July 2014

7 Session chair: Luis Miguel Pinho, CISTER Research Centre PROARTIS System Software Tullio Vardanega, University of Padua parmerasa Parallelized Avionics and Automotive Software João Fernandes, Honeywell and Sebastian Kehr, Denso Close (17:30) 30 July 2014 Version 2.0 Page 3

8 2.2 PRESENTATION: OVERVIEW OF THE T-CREST PROJECT Page 4 Version July 2014

9 30 July 2014 Version 2.0 Page 5

10 Page 6 Version July 2014

11 2.3 PRESENTATION: TIME-PREDICTABLE PROCESSOR AND NETWORK-ON-CHIP 30 July 2014 Version 2.0 Page 7

12 Page 8 Version July 2014

13 30 July 2014 Version 2.0 Page 9

14 Page 10 Version July 2014

15 30 July 2014 Version 2.0 Page 11

16 2.4 PRESENTATION: TIME-PREDICTABLE MEMORY HIERARCHY AND SDRAM CONTROLLER Note: Some slides numbers are omitted since they were not presented due to time constraints. Page 12 Version July 2014

17 30 July 2014 Version 2.0 Page 13

18 Page 14 Version July 2014

19 30 July 2014 Version 2.0 Page 15

20 Page 16 Version July 2014

21 2.5 PRESENTATION: COMPILER AND WCET ANALYSIS TOOL CHAIN 30 July 2014 Version 2.0 Page 17

22 Page 18 Version July 2014

23 30 July 2014 Version 2.0 Page 19

24 3. WORKSHOP AT ECRTS CONFERENCE The following sections provide the Agenda and presentations that were utilised for the T-CREST workshop held at the ECRTS Conference in Madrid on 10 July AGENDA The workshop conducted as part of the ECRTS Conference at Madrid on 10 July 2014, utilised the following agenda. Agenda Introduction to T-CREST, parmerasa and CERTAINTY projects (9:00 10:20) T-CREST: Time-predictable Multi-Core Architecture for Embedded Systems Martin Schoeberl, Technical University of Denmark parmerasa Overview - Objectives and Achievements Theo Ungerer, University of Augsburg CERTAINTY: Certification of Real time applications designed for mixed criticality M. Faugère, THALES Research and Technology Dynamic Budgeting for Settling DRAM Contention of Co-running Mixed- Criticality Applications on Multicores Martin Stigge, Uppsala University Break (10:20 10:40) T-CREST Technologies (10:40 12:40) Improving the average-case using worst-case aware prefetching Jamie Garside, University of York Argo: A Real-Time Network-on-Chip Architecture with an Efficient GALS Implementation Evangelia Kasapaki, Technical University of Denmark Function Splitting for the Patmos Method Cache Stefan Hepp, Vienna University of Technology Single-Path Code Generation and Input-Data Dependence Analysis Daniel Prokesch, Vienna University of Technology Time-Predictable Caching of Stack Data Sahar Abbaspourseyedi, Technical University of Denmark Branching in the time-predictable processor Patmos Wolfgang Puffitsch, Technical University of Denmark Lunch (12:40 13:40) parmerasa Technologies (13:40 15:00) Systematic and Timing-analyzable Parallelization of Industrial Applications Martin Frieb, University of Augsburg Page 20 Version July 2014

25 Towards Parallelization of Automotive Legacy Software Sebastian Kehr, Denso Static timing analysis of parallel applications Christine Rochange, University of Toulouse Multi-core architectures for hard real-time systems Milos Panic, Barcelona Supercomputing Center, Spain Break (15:00 15:20) CERTAINTY Technologies (15:20 17:00) Scheduling, mapping and interference analysis for mixed-critical applications on multi-core platforms Nikolay Stoimenov, Eidgenössische Technical University Zürich Composability and scheduling Petro Poplavko, Verimag NoC modeling and computation of worst-case traversal bounds on MPPA B. Dinechin, Kalray Fault modeling at NoC level A. Tschiene, Technical University Braunschweig Static Code-Level Timing and Stack Usage Analysis C. Ferdinand, Absint Close (17:00) 30 July 2014 Version 2.0 Page 21

26 3.2 PRESENTATION: T-CREST: TIME-PREDICTABLE MULTI-CORE ARCHITECTURE FOR EMBEDDED SYSTEMS Page 22 Version July 2014

27 30 July 2014 Version 2.0 Page 23

28 Page 24 Version July 2014

29 3.3 PRESENTATION: IMPROVING THE AVERAGE-CASE USING WORST-CASE AWARE PREFETCHING 30 July 2014 Version 2.0 Page 25

30 Page 26 Version July 2014

31 30 July 2014 Version 2.0 Page 27

32 Page 28 Version July 2014

33 30 July 2014 Version 2.0 Page 29

34 Page 30 Version July 2014

35 30 July 2014 Version 2.0 Page 31

36 3.4 PRESENTATION: ARGO: A REAL-TIME NETWORK-ON-CHIP ARCHITECTURE WITH AN EFFICIENT GALS IMPLEMENTATION Page 32 Version July 2014

37 30 July 2014 Version 2.0 Page 33

38 Page 34 Version July 2014

39 30 July 2014 Version 2.0 Page 35

40 3.5 PRESENTATION: FUNCTION SPLITTING FOR THE PATMOS METHOD CACHE Page 36 Version July 2014

41 30 July 2014 Version 2.0 Page 37

42 Page 38 Version July 2014

43 30 July 2014 Version 2.0 Page 39

44 Page 40 Version July 2014

45 3.6 PRESENTATION: SINGLE-PATH CODE GENERATION AND INPUT-DATA DEPENDENCE 30 July 2014 Version 2.0 Page 41

46 Page 42 Version July 2014

47 30 July 2014 Version 2.0 Page 43

48 3.7 PRESENTATION: TIME-PREDICTABLE CACHING OF STACK DATA Page 44 Version July 2014

49 30 July 2014 Version 2.0 Page 45

50 Page 46 Version July 2014

51 30 July 2014 Version 2.0 Page 47

52 Page 48 Version July 2014

53 3.8 PRESENTATION: BRANCHING IN THE TIME-PREDICTABLE PROCESSOR PATMOS 30 July 2014 Version 2.0 Page 49

54 Page 50 Version July 2014

55 30 July 2014 Version 2.0 Page 51

56 4. CONCLUSION Organising the two workshops were important actions in support of the dissemination objectives of the T-CREST project. The major conference that were selected for the workshop venues included research and industrial representatives from across Europe with many having specific interests in technology advances in platform architectures, as well as technologies that support critical systems and dependability. The audiences also had sufficient technical results concerning the technological innovations from the T- CREST project could be presented in depth. The workshops also provided further opportunities for collaboration with three other European Commission funded projects addressing related technology challenges. Page 52 Version July 2014

parmerasa Dissemination Event Address of Welcome

parmerasa Dissemination Event Address of Welcome parmerasa Dissemination Event Address of Welcome Prof. Dr. Theo Ungerer, parmerasa Project Coordinator, University of Augsburg Prof. Dr. Jesús Labarta, Director of Computer Sciences Department of Barcelona

More information

Scope-based Method Cache Analysis

Scope-based Method Cache Analysis Scope-based Method Cache Analysis Benedikt Huber 1, Stefan Hepp 1, Martin Schoeberl 2 1 Vienna University of Technology 2 Technical University of Denmark 14th International Workshop on Worst-Case Execution

More information

Experiences and Results of Parallelisation of Industrial Hard Real-time Applications for the parmerasa Multi-core

Experiences and Results of Parallelisation of Industrial Hard Real-time Applications for the parmerasa Multi-core Experiences and Results of Parallelisation of Industrial Hard Real-time Applications for the parmerasa Multi-core Theo Ungerer 1, Christian Bradatsch 1, Martin Frieb 1, Florian Kluge 1, Jörg Mische 1,

More information

Support for the Logical Execution Time Model on a Time-predictable Multicore Processor

Support for the Logical Execution Time Model on a Time-predictable Multicore Processor Support for the Logical Execution Time Model on a Time-predictable Multicore Processor Florian Kluge Department of Computer Science University of Augsburg kluge@informatik.uniaugsburg.de Martin Schoeberl

More information

Best Practice for Caching of Single-Path Code

Best Practice for Caching of Single-Path Code Best Practice for Caching of Single-Path Code Martin Schoeberl, Bekim Cilku, Daniel Prokesch, and Peter Puschner Technical University of Denmark Vienna University of Technology 1 Context n Real-time systems

More information

This is an author-deposited version published in : Eprints ID : 12597

This is an author-deposited version published in :  Eprints ID : 12597 Open Archive TOULOUSE Archive Ouverte (OATAO) OATAO is an open access repository that collects the work of Toulouse researchers and makes it freely available over the web where possible. This is an author-deposited

More information

D 3.6 FPGA implementation of self-timed NOC

D 3.6 FPGA implementation of self-timed NOC Project Number 288008 D 3.6 FPGA implementation of self-timed NOC Version 1.0 Final Public Distribution Technical University of Denmark Project Partners: AbsInt Angewandte Informatik, Eindhoven University

More information

Smarter and safer in an increasingly complex world European Mixed-Criticality Cluster

Smarter and safer in an increasingly complex world European Mixed-Criticality Cluster Smarter and safer in an increasingly complex world European Mixed-Criticality Cluster Roman Obermaisser (Univ. Siegen) Kim Grüttner (OFFIS) Francisco J. Cazorla (BSC) Arjan Geven (TTTech) Motivation Modern

More information

CONTENTION IN MULTICORE HARDWARE SHARED RESOURCES: UNDERSTANDING OF THE STATE OF THE ART

CONTENTION IN MULTICORE HARDWARE SHARED RESOURCES: UNDERSTANDING OF THE STATE OF THE ART CONTENTION IN MULTICORE HARDWARE SHARED RESOURCES: UNDERSTANDING OF THE STATE OF THE ART Gabriel Fernandez 1, Jaume Abella 2, Eduardo Quiñones 2, Christine Rochange 3, Tullio Vardanega 4 and Francisco

More information

Avionics Applications on a Time-predictable Chip-Multiprocessor

Avionics Applications on a Time-predictable Chip-Multiprocessor Avionics Applications on a Time-predictable Chip-Multiprocessor André Rocha and Cláudio Silva GMV Lisbon, Portugal Email: [andre.rocha, claudio.silva]@gmv.com Rasmus Bo Sørensen, Jens Sparsø, and Martin

More information

A Shared Scratchpad Memory with Synchronization Support

A Shared Scratchpad Memory with Synchronization Support Downloaded from orbit.dtu.dk on: Dec, 17 A Shared Scratchpad Memory with Synchronization Support Hansen, Henrik Enggaard; Maroun, Emad Jacob ; Kristensen, Andreas Toftegaard; Schoeberl, Martin; Marquart,

More information

D 5.6 Full Compiler Version

D 5.6 Full Compiler Version Project Number 288008 D 5.6 Full Compiler Version Version 1.0 Final Public Distribution Vienna University of Technology Project Partners: AbsInt Angewandte Informatik, Eindhoven University of Technology,

More information

A Statically Scheduled Time- Division-Multiplexed Networkon-Chip for Real-Time Systems

A Statically Scheduled Time- Division-Multiplexed Networkon-Chip for Real-Time Systems A Statically Scheduled Time- Division-Multiplexed Networkon-Chip for Real-Time Systems Martin Schoeberl, Florian Brandner, Jens Sparsø, Evangelia Kasapaki Technical University of Denamrk 1 Real-Time Systems

More information

State-based Communication on Time-predictable Multicore Processors

State-based Communication on Time-predictable Multicore Processors State-based Communication on Time-predictable Multicore Processors Rasmus Bo Sørensen, Martin Schoeberl, Jens Sparsø Department of Applied Mathematics and Computer Science Technical University of Denmark

More information

WCET Analysis of Parallel Benchmarks using On-Demand Coherent Cache

WCET Analysis of Parallel Benchmarks using On-Demand Coherent Cache WCET Analysis of Parallel Benchmarks using On-Demand Coherent Arthur Pyka, Lillian Tadros, Sascha Uhrig University of Dortmund Hugues Cassé, Haluk Ozaktas and Christine Rochange Université Paul Sabatier,

More information

Challenges of FSW Schedulability on Multicore Processors

Challenges of FSW Schedulability on Multicore Processors Challenges of FSW Schedulability on Multicore Processors Flight Software Workshop 27-29 October 2015 Marek Prochazka European Space Agency MULTICORES: WHAT DOES FLIGHT SOFTWARE ENGINEER NEED? Space-qualified

More information

Network Calculus: A Comparison

Network Calculus: A Comparison Time-Division Multiplexing vs Network Calculus: A Comparison Wolfgang Puffitsch, Rasmus Bo Sørensen, Martin Schoeberl RTNS 15, Lille, France Motivation Modern multiprocessors use networks-on-chip Congestion

More information

Resource Sharing and Partitioning in Multicore

Resource Sharing and Partitioning in Multicore www.bsc.es Resource Sharing and Partitioning in Multicore Francisco J. Cazorla Mixed Criticality/Reliability Workshop HiPEAC CSW Barcelona May 2014 Transition to Multicore and Manycores Wanted or imposed

More information

D 3.8 Integration report of the full system implemented on FPGA

D 3.8 Integration report of the full system implemented on FPGA Project Number 288008 D 38 Integration report of the full system Version 10 Final Public Distribution Technical University of Denmark Project Partners: AbsInt Angewandte Informatik, Eindhoven University

More information

D 3.4 Report documenting hardware implementation of the self-timed NOC

D 3.4 Report documenting hardware implementation of the self-timed NOC Project Number 288008 D 3.4 Report documenting hardware implementation of the self-timed NOC Version 1.0 Final Public Distribution Technical University of Denmark Project Partners: AbsInt Angewandte Informatik,

More information

Improving Performance of Single-path Code Through a Time-predictable Memory Hierarchy

Improving Performance of Single-path Code Through a Time-predictable Memory Hierarchy Improving Performance of Single-path Code Through a Time-predictable Memory Hierarchy Bekim Cilku, Wolfgang Puffitsch, Daniel Prokesch, Martin Schoeberl and Peter Puschner Vienna University of Technology,

More information

ait: WORST-CASE EXECUTION TIME PREDICTION BY STATIC PROGRAM ANALYSIS

ait: WORST-CASE EXECUTION TIME PREDICTION BY STATIC PROGRAM ANALYSIS ait: WORST-CASE EXECUTION TIME PREDICTION BY STATIC PROGRAM ANALYSIS Christian Ferdinand and Reinhold Heckmann AbsInt Angewandte Informatik GmbH, Stuhlsatzenhausweg 69, D-66123 Saarbrucken, Germany info@absint.com

More information

D4.10 Final parmerasa Multi-core System Software

D4.10 Final parmerasa Multi-core System Software Multi-Core Execution of Parallelised Hard Real-time Applications Supporting Analysability D4.10 Final parmerasa Multi-core System Software Nature: P - Prototype Dissemination Level: PU - Public Due date

More information

Timing Anomalies Reloaded

Timing Anomalies Reloaded Gernot Gebhard AbsInt Angewandte Informatik GmbH 1 of 20 WCET 2010 Brussels Belgium Timing Anomalies Reloaded Gernot Gebhard AbsInt Angewandte Informatik GmbH Brussels, 6 th July, 2010 Gernot Gebhard AbsInt

More information

A Time-predictable Memory Network-on-Chip

A Time-predictable Memory Network-on-Chip Downloaded from orbit.dtu.dk on: Nov 06, 2018 A Time-predictable Memory Network-on-Chip Schoeberl, Martin; Chong, David VH; Puffitsch, Wolfgang; Sparsø, Jens Published in: Proceedings of the 14th International

More information

A High Integrity Distributed Deterministic Java Environment. WORDS 2002 January 7, San Diego CA

A High Integrity Distributed Deterministic Java Environment. WORDS 2002 January 7, San Diego CA A High Integrity Distributed Deterministic Java Environment WORDS 2002 January 7, San Diego CA João Ventura Skysoft Portugal SA Fridtjof Siebert & Andy Walter aicas GmbH James Hunt Forschungszentrum Informatik

More information

MERASA: MULTICORE EXECUTION OF HARD REAL-TIME APPLICATIONS SUPPORTING ANALYZABILITY

MERASA: MULTICORE EXECUTION OF HARD REAL-TIME APPLICATIONS SUPPORTING ANALYZABILITY ... MERASA: MULTICORE EXECUTION OF HARD REAL-TIME APPLICATIONS SUPPORTING ANALYZABILITY... THE MERASA PROJECT AIMS TO ACHIEVE A BREAKTHROUGH IN HARDWARE DESIGN, HARD REAL-TIME SUPPORT IN SYSTEM SOFTWARE,

More information

MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding

MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding www.bsc.es MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding Enrique Díaz¹,², Mikel Fernández¹, Leonidas Kosmidis¹, Enrico Mezzetti¹, Carles Hernandez¹, Jaume Abella¹,

More information

Timing analysis and timing predictability

Timing analysis and timing predictability Timing analysis and timing predictability Architectural Dependences Reinhard Wilhelm Saarland University, Saarbrücken, Germany ArtistDesign Summer School in China 2010 What does the execution time depends

More information

Architectural Time-predictability Factor (ATF) to Measure Architectural Time Predictability

Architectural Time-predictability Factor (ATF) to Measure Architectural Time Predictability Architectural Time-predictability Factor (ATF) to Measure Architectural Time Predictability Yiqiang Ding, Wei Zhang Department of Electrical and Computer Engineering Virginia Commonwealth University Outline

More information

Vincent Nelis, Patrick Meumeu Yomsi, Luís Miguel Pinho

Vincent Nelis, Patrick Meumeu Yomsi, Luís Miguel Pinho Vincent Nelis, Patrick Meumeu Yomsi, Luís Miguel Pinho 7/3/2017 P-SOCRATES: Parallel SOftware framework for time-critical many-core systems Three-year FP7 STREP project (Oct-2013, Set-2016) Website: www.p-socrates.eu

More information

Design and Analysis of Time-Critical Systems Introduction

Design and Analysis of Time-Critical Systems Introduction Design and Analysis of Time-Critical Systems Introduction Jan Reineke @ saarland university ACACES Summer School 2017 Fiuggi, Italy computer science Structure of this Course 2. How are they implemented?

More information

Verification and Profiling tools

Verification and Profiling tools Verification and Profiling tools Dissemination Event September 2014 Nick Lay Dave George Rapita Systems Ltd. Introduction to Rapita Systems www.rapitasystems.com Rapita Systems Ltd. Founded in January

More information

Media (NEM) Initiative

Media (NEM) Initiative Networked and Electronic Media (NEM) Initiative NEM Initiative and involvement of Game developers Possibilities for participation in the new EU funding work programme 2011 Dr.-Ing. Halid Hrasnica Eurescom

More information

Towards Transactional Memory for Safety-Critical Embedded Systems

Towards Transactional Memory for Safety-Critical Embedded Systems Towards Transactional Memory for Safety-Critical Embedded Systems Stefan Metzlaff, Sebastian Weis, and Theo Ungerer Department of Computer Science, University of Augsburg, Germany Euro-TM Workshop on Transactional

More information

13th International Workshop on Worst-Case Execution Time Analysis

13th International Workshop on Worst-Case Execution Time Analysis 13th International Workshop on Worst-Case Execution Time Analysis WCET 13, July 9, 2013, Paris, France Edited by Claire Maiza OA S I c s Vo l. 3 0 WC E T 2 0 1 3 w w w. d a g s t u h l. d e / o a s i c

More information

D 5.7 Report on Compiler Evaluation

D 5.7 Report on Compiler Evaluation Project Number 288008 D 5.7 Report on Compiler Evaluation Version 1.0 Final Public Distribution Vienna University of Technology, Technical University of Denmark Project Partners: AbsInt Angewandte Informatik,

More information

D 5.3 Report on Compilation for Time-Predictability

D 5.3 Report on Compilation for Time-Predictability Project Number 288008 D 5.3 Report on Compilation for Time-Predictability Version 1.0 Final Public Distribution Vienna University of Technology, Technical University of Denmark, AbsInt Angewandte Informatik,

More information

A Template for Predictability Definitions with Supporting Evidence

A Template for Predictability Definitions with Supporting Evidence A Template for Predictability Definitions with Supporting Evidence Daniel Grund 1, Jan Reineke 2, and Reinhard Wilhelm 1 1 Saarland University, Saarbrücken, Germany. grund@cs.uni-saarland.de 2 University

More information

Climbing Towards Trust, Privacy and Identity Management in Emerging ICT-based Scenarios

Climbing Towards Trust, Privacy and Identity Management in Emerging ICT-based Scenarios Climbing Towards Trust, Privacy and Identity Management in Emerging ICT-based Scenarios First Endeavour: Requirements 26 November 2008 @ ICT 2008, Lyon Katja Liesebach Chair for Mobile Business & Multilateral

More information

D 2.2 Concepts for Interrupt Virtualisation

D 2.2 Concepts for Interrupt Virtualisation Project Number 288008 D 2.2 Concepts for Interrupt Virtualisation Version 1.0 Final Public Distribution Eindhoven University of Technology and Technical University of Denmark Project Partners: AbsInt Angewandte

More information

Classification of Code Annotations and Discussion of Compiler-Support for Worst-Case Execution Time Analysis

Classification of Code Annotations and Discussion of Compiler-Support for Worst-Case Execution Time Analysis Proceedings of the 5th Intl Workshop on Worst-Case Execution Time (WCET) Analysis Page 41 of 49 Classification of Code Annotations and Discussion of Compiler-Support for Worst-Case Execution Time Analysis

More information

th Euromicro Conference on Real-Time Systems (ECRTS 2014) Madrid, Spain 8-11 July IEEE Catalog Number: ISBN:

th Euromicro Conference on Real-Time Systems (ECRTS 2014) Madrid, Spain 8-11 July IEEE Catalog Number: ISBN: 2014 26th Euromicro Conference on Real-Time Systems (ECRTS 2014) Madrid, Spain 8-11 July 2014 IEEE Catalog Number: ISBN: CFP14376-POD 978-1-4799-5799-6 26th Euromicro Conference on Real-Time Systems ECRTS

More information

Towards a Time-predictable Dual-Issue Microprocessor: The Patmos Approach

Towards a Time-predictable Dual-Issue Microprocessor: The Patmos Approach Towards a Time-predictable Dual-Issue Microprocessor: The Patmos Approach Martin Schoeberl 1, Pascal Schleuniger 1, Wolfgang Puffitsch 2, Florian Brandner 3, Christian W. Probst 1, Sven Karlsson 1, and

More information

IRMOS Newsletter. Issue N 2 / February Editorial. In this issue... Dear Reader, Editorial p.1

IRMOS Newsletter. Issue N 2 / February Editorial. In this issue... Dear Reader, Editorial p.1 IRMOS Newsletter Issue N 2 / February 2009 In this issue... Editorial Dear Reader, Editorial p.1 Highlights p.2 Past events p.5 Upcoming events p.7 Recent project outcomes p.8 I am pleased to announce

More information

Efficient real-time SDRAM performance

Efficient real-time SDRAM performance 1 Efficient real-time SDRAM performance Kees Goossens with Benny Akesson, Sven Goossens, Karthik Chandrasekar, Manil Dev Gomony, Tim Kouters, and others Kees Goossens

More information

Conference Paper. A Closer Look into the AER Model. Cláudio Maia Luis Nogueira Luis Miguel Pinho Daniel Gracia Pérez CISTER-TR

Conference Paper. A Closer Look into the AER Model. Cláudio Maia Luis Nogueira Luis Miguel Pinho Daniel Gracia Pérez CISTER-TR Conference Paper A Closer Look into the AER Model Cláudio Maia Luis Nogueira Luis Miguel Pinho Daniel Gracia Pérez CISTER-TR-160701 Conference Paper CISTER-TR-160701 A Closer Look into the AER Model A

More information

Single-Path Code Generation and Input-Data Dependence Analysis

Single-Path Code Generation and Input-Data Dependence Analysis Single-Path Code Generation and Input-Data Dependence Analysis Daniel Prokesch daniel@vmars.tuwien.ac.at July 10 th, 2014 Project Workshop Madrid D. Prokesch TUV T-CREST Workshop, Madrid July 10 th, 2014

More information

WCET and Mixed-Criticality: What does Confidence in WCET Estimations Depend Upon?

WCET and Mixed-Criticality: What does Confidence in WCET Estimations Depend Upon? WCET and Mixed-Criticality: What does Confidence in WCET Estimations Depend Upon? Sebastian Altmeyer 1, Björn Lisper 2, Claire Maiza 3, Jan Reineke 4, and Christine Rochange 5 1 University of Luxembourg,

More information

Time-Predictable Virtual Memory

Time-Predictable Virtual Memory Time-Predictable Virtual Memory Wolfgang Puffitsch and Martin Schoeberl Department of Applied Mathematics and Computer Science, Technical University of Denmark wopu@dtu.dk, masca@dtu.dk Abstract Virtual

More information

Predictability Considerations in the Design of Multi-Core Embedded Systems

Predictability Considerations in the Design of Multi-Core Embedded Systems Predictability Considerations in the Design of Multi-Core Embedded Systems Christoph Cullmann 1, Christian Ferdinand 1, Gernot Gebhard 1, Daniel Grund 2, Claire Maiza (Burguière) 2, Jan Reineke 3, Benoît

More information

1. Introduction. 1 Multi-Core Execution of Hard Real-Time Applications Supporting Analysability. This research is partially funded by the

1. Introduction. 1 Multi-Core Execution of Hard Real-Time Applications Supporting Analysability. This research is partially funded by the WCET ANALYSIS OF A PARALLEL 3D MULTIGRID SOLVER EXECUTED ON THE MERASA MULTI-CORE 1 Christine Rochange 2, Armelle Bonenfant 2, Pascal Sainrat 2, Mike Gerdes 3, Julian Wolf 3, Theo Ungerer 3, Zlatko Petrov

More information

Real-Time Audio Processing on the T-CREST Multicore Platform

Real-Time Audio Processing on the T-CREST Multicore Platform Real-Time Audio Processing on the T-CREST Multicore Platform Daniel Sanz Ausin, Luca Pezzarossa, and Martin Schoeberl Department of Applied Mathematics and Computer Science Technical University of Denmark,

More information

Partner in a European project & how to get there - View from Russian Insider on project ISTOK.Ru

Partner in a European project & how to get there - View from Russian Insider on project ISTOK.Ru Information Society Technologies to Open Knowledge. Russia Partner in a European project & how to get there - View from Russian Insider on project ISTOK.Ru Oleg Luksha Russian Technology Transfer Network

More information

Improving Timing Analysis for Matlab Simulink/Stateflow

Improving Timing Analysis for Matlab Simulink/Stateflow Improving Timing Analysis for Matlab Simulink/Stateflow Lili Tan, Björn Wachter, Philipp Lucas, Reinhard Wilhelm Universität des Saarlandes, Saarbrücken, Germany {lili,bwachter,phlucas,wilhelm}@cs.uni-sb.de

More information

oordination upport Status: February 2017

oordination upport Status: February 2017 www.codecs-project.eu operative ITS ployment oordination upport Status: February 2017 This project has received funding from the European Union s Horizon 2020 research and innovation programme under Grant

More information

Precise and Efficient FIFO-Replacement Analysis Based on Static Phase Detection

Precise and Efficient FIFO-Replacement Analysis Based on Static Phase Detection Precise and Efficient FIFO-Replacement Analysis Based on Static Phase Detection Daniel Grund 1 Jan Reineke 2 1 Saarland University, Saarbrücken, Germany 2 University of California, Berkeley, USA Euromicro

More information

Welcome to the TIPS Workshop , Brussels

Welcome to the TIPS Workshop , Brussels Welcome to the TIPS Workshop 24.10.2013, Brussels The TIPS project is supported by the European Commission within the Seventh Framework Programme for TIPS goals Provide support to FP7 transport R&D projects

More information

A Time-predictable Object Cache

A Time-predictable Object Cache A Time-predictable Object Cache Martin Schoeberl Department of Informatics and Mathematical Modeling Technical University of Denmark Email: masca@imm.dtu.dk Abstract Static cache analysis for data allocated

More information

EO Ground Segment Evolution Reflections by

EO Ground Segment Evolution Reflections by EO Ground Segment Evolution Reflections by Interoute Jonathan Brown Marketing Director Workshop 2015, 24 th September 2015 ESA/ESRIN Frascati Interoute, from the ground to the cloud 1. Interoute is the

More information

Static Analysis of Worst-Case Stack Cache Behavior

Static Analysis of Worst-Case Stack Cache Behavior Static Analysis of Worst-Case Stack Cache Behavior Alexander Jordan 1 Florian Brandner 2 Martin Schoeberl 2 Institute of Computer Languages 1 Embedded Systems Engineering Section 2 Compiler and Languages

More information

Consumer Electronics Processors for Critical Real-Time Systems: a (Failed) Practical Experience

Consumer Electronics Processors for Critical Real-Time Systems: a (Failed) Practical Experience Consumer Electronics Processors for Critical Real-Time Systems: a (Failed) Practical Experience Gabriel Fernandez, Francisco Cazorla, Jaume Abella To cite this version: Gabriel Fernandez, Francisco Cazorla,

More information

IRMOS Newsletter. Issue N 5 / January Editorial. In this issue... Dear Reader, Editorial p.1

IRMOS Newsletter. Issue N 5 / January Editorial. In this issue... Dear Reader, Editorial p.1 IRMOS Newsletter Issue N 5 / January 2011 In this issue... Editorial Editorial p.1 Highlights p.2 Special topic: The IRMOS Repository p.5 Recent project outcomes p.6 Keep in touch with IRMOS p.8 Dear Reader,

More information

Single-Path Programming on a Chip-Multiprocessor System

Single-Path Programming on a Chip-Multiprocessor System Single-Path Programming on a Chip-Multiprocessor System Martin Schoeberl, Peter Puschner, and Raimund Kirner Vienna University of Technology, Austria mschoebe@mail.tuwien.ac.at, {peter,raimund}@vmars.tuwien.ac.at

More information

The Argo software perspective

The Argo software perspective The Argo software perspective A multicore programming exercise Rasmus Bo Sørensen Updated by Luca Pezzarossa April 4, 2018 Copyright 2017 Technical University of Denmark This work is licensed under a Creative

More information

Experience of the Tunisian Participation to the EU s Horizon 2020 Framework Programme as an Associated Country

Experience of the Tunisian Participation to the EU s Horizon 2020 Framework Programme as an Associated Country Prof. Olfa ZERIBI-BEN SLIMANE DG & National NCP Coordinator Experience of the Tunisian Participation to the EU s Horizon 2020 Framework Programme as an Associated Country Dr. Yosr Z. HAFFANI Management

More information

parmerasa Pattern Catalogue Timing Predictable Parallel Design Patterns Mike Gerdes, Ralf Jahr, Theo Ungerer Report September 2013

parmerasa Pattern Catalogue Timing Predictable Parallel Design Patterns Mike Gerdes, Ralf Jahr, Theo Ungerer Report September 2013 UNIVERSITÄT AUGSBURG parmerasa Pattern Catalogue Timing Predictable Parallel Design Patterns Mike Gerdes, Ralf Jahr, Theo Ungerer Report 2013-11 September 2013 INSTITUT FÜR INFORMATIK D-86135 AUGSBURG

More information

Promoting Advanced Vehicle Safety Technologies

Promoting Advanced Vehicle Safety Technologies Promoting Advanced Vehicle Safety Technologies Contract No: 249021 esafety Challenge Project website Report type: Deliverable 5.1 Report name: esafety Challenge website Version number: Version 2.0 Dissemination

More information

EURITRACK Workshop. Session 2 : Industrial and academic views. CEA LIST vision. R. Cammoun

EURITRACK Workshop. Session 2 : Industrial and academic views. CEA LIST vision. R. Cammoun EURITRACK Workshop Session 2 : Industrial and academic views CEA LIST vision R. Cammoun riadh.cammoun@cea.fr A French government-funded technological research organisation A prominent player in the European

More information

H2020 EUB EU-Brazil Research and Development Cooperation in Advanced Cyber Infrastructure. NCP Training Brussels, 18 September 2014

H2020 EUB EU-Brazil Research and Development Cooperation in Advanced Cyber Infrastructure. NCP Training Brussels, 18 September 2014 H2020 EUB 2015 EU-Brazil Research and Development Cooperation in Advanced Cyber Infrastructure NCP Training Brussels, 18 September 2014 H2020 EUB 2015 This topic is a major element for the implementation

More information

A Dynamic Instruction Scratchpad Memory for Embedded Processors Managed by Hardware

A Dynamic Instruction Scratchpad Memory for Embedded Processors Managed by Hardware A Dynamic Instruction Scratchpad Memory for Embedded Processors Managed by Hardware Stefan Metzlaff 1, Irakli Guliashvili 1,SaschaUhrig 2,andTheoUngerer 1 1 Department of Computer Science, University of

More information

Enabling TDMA Arbitration in the Context of MBPTA

Enabling TDMA Arbitration in the Context of MBPTA Enabling TDMA Arbitration in the Context of MBPTA Miloš Panić,, Jaume Abella, Eduardo Quiñones, Carles Hernandez, Theo Ungerer, Francisco J. Cazorla, Universitat Politècnica de Catalunya Barcelona Supercomputing

More information

The Future of Solid State Lighting in Europe

The Future of Solid State Lighting in Europe PLUS Conference "LED Lighting Strategies for Urban Spaces", 20/6/2012 The Future of Solid State Lighting in Europe Michael Ziegler European Commission DG Information Society and Media [-> DG CONNECT -

More information

IPv6 Task Force - Phase II. Welcome

IPv6 Task Force - Phase II. Welcome IPv6 Task Force - Phase II Welcome Joao da Silva European Commission Brussels 12 September 2002 Erkki Liikanen - Date 2002-1 Latest EU action on IPv6 IPv6 Task Force (Phase I) launched April 2001 Recommendations

More information

Hardware Support for Histogram-based Performance Analysis of Embedded Systems

Hardware Support for Histogram-based Performance Analysis of Embedded Systems 217 IEEE 2th International Symposium on Real-Time Distributed Computing Hardware Support for Histogram-based Performance Analysis of Embedded Systems Thomas Ballenthin HBM GmbH Darmstadt, Germany thomas.ballenthin@hbm.com

More information

EU Customs Policy for Supply Chain Security & Detection Technology (for CBRNE)

EU Customs Policy for Supply Chain Security & Detection Technology (for CBRNE) EU Customs Policy for Supply Chain Security & Detection Technology (for CBRNE) Manuela Cabral Directorate General for Taxation and Customs Union Head of Risk management and Security, Unit B 2 Brussels,

More information

NCP_WIDE.NET. International Network of the National Contact Points (NCPs) For Spreading Excellence and Widening Participation. Madrid, 19 April 2016

NCP_WIDE.NET. International Network of the National Contact Points (NCPs) For Spreading Excellence and Widening Participation. Madrid, 19 April 2016 Madrid, 19 April 2016 NCP_WIDE.NET International Network of the National Contact Points (NCPs) For Spreading Excellence and Widening Participation Katarzyna Walczyk-Matuszyk National Contact Point Poland

More information

Predictable hardware: The AURIX Microcontroller Family

Predictable hardware: The AURIX Microcontroller Family Predictable hardware: The AURIX Microcontroller Family Worst-Case Execution Time Analysis WCET 2013, July 9, 2013, Paris, France Jens Harnisch (Jens.Harnisch@Infineon.com), Infineon Technologies AG, Automotive

More information

Metal Recovery from Low Grade Ores and Wastes Plus

Metal Recovery from Low Grade Ores and Wastes Plus Metal Recovery from Low Grade Ores and Wastes Plus D7.1 Project and public website Public Authors: Marta Macias, Carlos Leyva (IDENER) D7.1 I Page 2 Deliverable Number 7.1 Deliverable Name Project and

More information

High-Performance Real-Time Lab (HiPeRT) Marko Bertogna University of Modena, Italy

High-Performance Real-Time Lab (HiPeRT) Marko Bertogna University of Modena, Italy High-Performance Real-Time Lab (HiPeRT) Marko Bertogna University of Modena, Italy marko.bertogna@unimore.it http://hipert.unimore.it/ HiPeRT Lab Research on High-Performance Real-Time Systems ~20 people

More information

On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques

On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques Jaume Abella, Damien Hardy, Isabelle Puaut, Eduardo Quiñones, Francisco J. Cazorla, University of Rennes I, IRISA Barcelona

More information

Once-Only Principle Reducing Administrative Burden for Citizens and Businesses

Once-Only Principle Reducing Administrative Burden for Citizens and Businesses Funded by the European Union Once-Only Principle Reducing Administrative Burden for Citizens and Businesses www.scoop4c.eu Tallinn e-governance Conference 2017 and SCOOP4C stakeholder workshop Tallinn,

More information

A Stack Cache for Real-Time Systems

A Stack Cache for Real-Time Systems A Stack Cache for Real-Time Systems Martin Schoeberl and Carsten Nielsen Department of Applied Mathematics and Computer Science Technical University of Denmark Email: masca@imm.dtu.dk, carstenlau.nielsen@uzh.ch

More information

Third public workshop of the Amsterdam Group and CODECS European Framework for C-ITS Deployment

Third public workshop of the Amsterdam Group and CODECS European Framework for C-ITS Deployment Third public workshop of the Amsterdam Group and CODECS European Framework for C-ITS Deployment 14 February 2017 Amsterdam Gerhard Menzel European Commission - DG MOVE EU Policy Tools Large-scale deployment

More information

Hannover Declaration

Hannover Declaration Hannover Declaration On 28 April 2016, the Ministry of Economy, Trade and Industry (METI) of Japan and the Federal Ministry for Economic Affairs and Energy (BMWi) of the Federal Republic of Germany released

More information

Improving multicore memory systems

Improving multicore memory systems 1 Improving multicore memory systems and some thoughts on chip multiprocessor programming NIK MULTICORE TECHNOLOGY WORKSHOP 19. Nov. 2007 Lasse.Natvig@idi.ntnu.no NTNU Computer Architecture Research group

More information

Overview of Potential Software solutions making multi-core processors predictable for Avionics real-time applications

Overview of Potential Software solutions making multi-core processors predictable for Avionics real-time applications Overview of Potential Software solutions making multi-core processors predictable for Avionics real-time applications Marc Gatti, Thales Avionics Sylvain Girbal, Xavier Jean, Daniel Gracia Pérez, Jimmy

More information

1. Publishable Summary

1. Publishable Summary 1. Publishable Summary 1.1Project objectives and context Identity management (IdM) has emerged as a promising technology to distribute identity information across security domains. In e-business scenarios,

More information

Substance, Product, Organisation and Referential (SPOR) communication update

Substance, Product, Organisation and Referential (SPOR) communication update Substance, Product, Organisation and Referential (SPOR) communication update December 2016 Presented by: Agnieszka Laka SPOR Change Team An agency of the European Union Agenda Update on key communication

More information

European Space Policy

European Space Policy European Space Policy Eleni Paliouras, ESA EuroGeographics General Assembly 3 October 2017 Vienna, Austria ESA UNCLASSIFIED - For Official Use ESA facts and figures Intergovernmental agency of 22 Member

More information

NoCo: ILP-based Worst-Case Contention Estimation for Mesh Real-Time Manycores

NoCo: ILP-based Worst-Case Contention Estimation for Mesh Real-Time Manycores www.bsc.es NoCo: ILP-based Worst-Case Contention Estimation for Mesh Real-Time Manycores Jordi Cardona 1,2, Carles Hernandez 1, Enrico Mezzetti 1, Jaume Abella 1 and Francisco J.Cazorla 1,3 1 Barcelona

More information

A Time-Composable Operating System for the Patmos Processor

A Time-Composable Operating System for the Patmos Processor A Time-Composable Operating System for the Patmos Processor Marco Ziccardi Department of Mathematics University of Padua mziccard@math.unipd.it Martin Schoeberl Department of Applied Mathematics and Computer

More information

Software Synthesis, Code Generation and Timing Analysis

Software Synthesis, Code Generation and Timing Analysis Year 1 Review Brussels, January 23rd, 2008 Cluster Achievements and Perspectives : Software Synthesis, Code Generation and Timing Analysis Cluster leader : Peter Marwedel TU Dortmund High-Level Objectives

More information

Networking for Sustainability & Green Digital Charter

Networking for Sustainability & Green Digital Charter Networking for Sustainability & Green Digital Charter Nikolaos Kontinakis, EUROCITIES Project coordinator nikolaos.kontinakis@eurocities.eu Sustainability is in our hands global networking 14 May 2014,

More information

The EU Vision on Connected and Automated Driving (and its implementation via H2020)

The EU Vision on Connected and Automated Driving (and its implementation via H2020) The EU Vision on Connected and Automated Driving (and its implementation via H2020) Dr. Nili Mandelblit, Director - Transport, Aero-Space, Materials & Manufacturing ISERD ISERD Israel-Europe R&D Directorate

More information

A Time-Predictable Instruction-Cache Architecture that Uses Prefetching and Cache Locking

A Time-Predictable Instruction-Cache Architecture that Uses Prefetching and Cache Locking A Time-Predictable Instruction-Cache Architecture that Uses Prefetching and Cache Locking Bekim Cilku, Daniel Prokesch, Peter Puschner Institute of Computer Engineering Vienna University of Technology

More information

Research Infrastructures and Horizon 2020

Research Infrastructures and Horizon 2020 Research Infrastructures and Horizon 2020 Christos VASILAKOS DG Research & 1 st CoPoRI Workshop on EoE 11-12 June 2012 Hamburg, DE The EU Framework Programme for Research and 2014-2020 Research and Europe

More information

Organic Computing Middleware for Ubiquitous Environments

Organic Computing Middleware for Ubiquitous Environments OCµ Organic Computing Middleware for Ubiquitous Environments Status report and outlook Theo Ungerer, Benjamin Satzger, Sebastian Schlingmann, Julia Schmitt, University of Augsburg Wolfgang Trumer, Siemens

More information

EuroHPC Bologna 23 Marzo Gabriella Scipione

EuroHPC Bologna 23 Marzo Gabriella Scipione EuroHPC Bologna 23 Marzo 2018 Gabriella Scipione g.scipione@cineca.it EuroHPC - Europe's journey to exascale HPC http://eurohpc.eu/ What EuroHPC is a joint collaboration between European countries and

More information

Measurement-Based Timing Analysis of the AURIX Caches

Measurement-Based Timing Analysis of the AURIX Caches Measurement-Based Timing Analysis of the AURIX Caches Leonidas Kosmidis 1,3, Davide Compagnin 2, David Morales 3, Enrico Mezzetti 3, Eduardo Quinones 3, Jaume Abella 3, Tullio Vardanega 2, and Francisco

More information