MIPS Architecture and Assembly Language Overview

Size: px
Start display at page:

Download "MIPS Architecture and Assembly Language Overview"

Transcription

1 MIPS Architecture and Assembly Language Overview Adapted frm: [Register Descriptin] [I/O Descriptin] Data Types and Literals Data types: Instructins are all 32 bits byte(8 bits), halfwrd (2 bytes), wrd (4 bytes) a character requires 1 byte f strage an integer requires 1 wrd (4 bytes) f strage Literals: numbers entered as is. e.g. 4 Registers characters enclsed in single qutes. e.g. 'b' strings enclsed in duble qutes. e.g. "A string" 32 general-purpse registers register preceded by $ in assembly language instructin tw frmats fr addressing: using register number e.g. $0 thrugh $31 using equivalent names e.g. $t1, $sp special registers L and Hi used t stre result f multiplicatin and divisin nt directly addressable; cntents accessed with special instructin mfhi ("mve frm Hi") and mfl ("mve frm L") stack grws frm high memry t lw memry This is frm Figure 9.9 in the Gdman&Miller text Register Number Alternative Name 0 zer the value 0 Descriptin 1 $at (assembler temprary) reserved by the assembler

2 2-3 $v0 - $v1 4-7 $a0 - $a $t0 - $t $s0 - $s7 (values) frm expressin evaluatin and functin results (arguments) First fur parameters fr subrutine. Nt preserved acrss prcedure calls (tempraries) Caller saved if needed. Subrutines can use w/ut saving. Nt preserved acrss prcedure calls (saved values) - Callee saved. A subrutine using ne f these must save riginal and restre it befre exiting. Preserved acrss prcedure calls (tempraries) Caller saved if needed. Subrutines can $t8 - $t9 use w/ut saving. These are in additin t $t0 - $t7 abve. Nt preserved acrss prcedure calls $k0 - $k1 reserved fr use by the interrupt/trap handler 28 $gp 29 $sp glbal pinter. Pints t the middle f the 64K blck f memry in the static data segment. stack pinter Pints t last lcatin n the stack. 30 $s8/$fp saved value / frame pinter Preserved acrss prcedure calls 31 $ra return address See als Brittn sectin 1.9, Sweetman sectin 2.21, Larus Appendix sectin A.6 Prgram Structure just plain text file with data declaratins, prgram cde (name f file shuld end in suffix.s t be used with SPIM simulatr) data declaratin sectin fllwed by prgram cde sectin Data Declaratins placed in sectin f prgram identified with assembler directive.data declares variable names used in prgram; strage allcated in main memry (RAM) Cde placed in sectin f text identified with assembler directive.text

3 cntains prgram cde (instructins) starting pint fr cde e.g.ecutin given label main: ending pint f main cde shuld use exit system call (see belw under System Calls) Cmments anything fllwing # n a line # This stuff wuld be cnsidered a cmment Template fr a MIPS assembly language prgram: # Cmment giving name f prgram and descriptin f functin # Template.s # Bare-bnes utline f MIPS assembly language prgram.data # variable declaratins fllw this line #....text # instructins fllw this line main: # indicates start f cde (first instructin t execute) #... # End f prgram, leave a blank line afterwards t make SPIM happy Data Declaratins frmat fr declaratins: name: strage_type value(s) create strage fr variable f specified type with given name and specified value value(s) usually gives initial value(s); fr strage type.space, gives number f spaces t be allcated Nte: labels always fllwed by cln ( : ) example var1:.wrd 3 # create a single integer variable with initial value 3 array1:.byte 'a','b' # create a 2-element character array with elements initialized # t a and b array2:.space 40 # allcate 40 cnsecutive bytes, with strage uninitialized # culd be used as a 40-element character array, r a

4 indicate which! # 10-element integer array; a cmment shuld Lad / Stre Instructins RAM access nly allwed with lad and stre instructins all ther instructins use register perands lad: lw register_destinatin, RAM_surce #cpy wrd (4 bytes) at surce RAM lcatin t destinatin register. lb register_destinatin, RAM_surce #cpy byte at surce RAM lcatin t lw-rder byte f destinatin register, # and sign-e.g.tend t higher-rder bytes stre wrd: sw register_surce, RAM_destinatin #stre wrd in surce register int RAM destinatin sb register_surce, RAM_destinatin #stre byte (lw-rder) in surce register int RAM destinatin lad immediate: li register_destinatin, value #lad immediate value int destinatin register example:.data var1:.wrd 23 # declare strage fr var1; initial value is 23.text start: lw $t0, var1 # lad cntents f RAM lcatin int register $t0: $t0 = var1 li $t1, 5 # $t1 = 5 ("lad immediate") sw $t1, var1 # stre cntents f register $t1 int RAM: var1 = $t1 dne Indirect and Based Addressing Used nly with lad and stre instructins lad address: la $t0, var1 cpy RAM address f var1 (presumably a label defined in the prgram) int register $t0

5 indirect addressing: lw $t2, ($t0) lad wrd at RAM address cntained in $t0 int $t2 sw $t2, ($t0) stre wrd in register $t2 int RAM at address cntained in $t0 based r indexed addressing: lw $t2, 4($t0) lad wrd at RAM address ($t0+4) int register $t2 "4" gives ffset frm address in register $t0 sw $t2, -12($t0) stre wrd in register $t2 int RAM at address ($t0-12) negative ffsets are fine Nte: based addressing is especially useful fr: arrays; access elements as ffset frm base address stacks; easy t access elements at ffset frm stack pinter r frame pinter example.data array1:.space 12 # declare 12 bytes f strage t hld array f 3 integers.text start: la $t0, array1 # lad base address f array int register $t0 li $t1, 5 # $t1 = 5 ("lad immediate") sw $t1, ($t0) # first array element set t 5; indirect addressing li $t1, 13 # $t1 = 13 sw $t1, 4($t0) # secnd array element set t 13 li $t1, -7 # $t1 = -7 sw $t1, 8($t0) # third array element set t -7 dne Arithmetic Instructins mst use 3 perands all perands are registers; n RAM r indirect addressing perand size is wrd (4 bytes) add $t0,$t1,$t2 # $t0 = $t1 + $t2; add as signed (2's cmplement) integers sub $t2,$t3,$t4 # $t2 = $t3 Ð $t4

6 addi $t2,$t3, 5 # $t2 = $t3 + 5; "add immediate" (n sub immediate) addu $t1,$t6,$t7 # $t1 = $t6 + $t7; add as unsigned integers subu $t1,$t6,$t7 # $t1 = $t6 + $t7; subtract as unsigned integers mult $t3,$t4 # multiply 32-bit quantities in $t3 and $t4, and stre 64-bit # result in special registers L and Hi: (Hi,L) = $t3 * $t4 div $t5,$t6 # L = $t5 / $t6 (integer qutient) # Hi = $t5 md $t6 (remainder) mfhi $t0 # mve quantity in special register Hi t $t0: $t0 = Hi mfl $t1 # mve quantity in special register L t $t1: $t1 = L # used t get at result f prduct r qutient mve $t2,$t3 # $t2 = $t3 Cntrl Structures Branches cmparisn fr cnditinal branches is built int instructin b target # uncnditinal branch t prgram label target beq $t0,$t1,target # branch t target if $t0 = $t1 blt $t0,$t1,target # branch t target if $t0 < $t1 ble $t0,$t1,target # branch t target if $t0 <= $t1 bgt $t0,$t1,target # branch t target if $t0 > $t1 bge $t0,$t1,target # branch t target if $t0 >= $t1 bne $t0,$t1,target # branch t target if $t0 <> $t1 Jumps j target # uncnditinal jump t prgram label target jr $t3 # jump t address cntained in $t3 ("jump register") Subrutine Calls subrutine call: "jump and link" instructin jal sub_label # "jump and link" cpy prgram cunter (return address) t register $ra (return address register) jump t prgram statement at sub_label subrutine return: "jump register" instructin jr $ra # "jump register" jump t return address in $ra (stred by jal instructin)

7 Nte: return address stred in register $ra; if subrutine will call ther subrutines, r is recursive, return address shuld be cpied frm $ra nt stack t preserve it, since jal always places return address in this register and hence will verwrite previus value System Calls and I/O (SPIM Simulatr) used t read r print values r strings frm input/utput windw, and indicate prgram end use syscall perating system rutine call first supply apprpriate values in registers $v0 and $a0-$a1 result value (if any) returned in register $v0 The fllwing table lists the pssible syscall services. Service Cde in $v0 Arguments print_int 1 $a0 = integer t be printed print_flat 2 $f12 = flat t be printed print_dubl e print_string 4 3 $f12 = duble t be printed $a0 = address f string in memry Results read_int 5 integer returned in $v0 read_flat 6 flat returned in $v0 read_duble 7 read_string 8 $a0 = memry address f string input buffer $a1 = length f string buffer (n) duble returned in $v0 sbrk 9 $a0 = amunt address in $v0 exit 10 The print_string service expects the address t start a null-terminated character string. The directive.asciiz creates a null-terminated character string. The read_int, read_flat and read_duble services read an entire line f input up t and including the newline character. The read_string service has the same semantices as the UNIX library rutine fgets.

8 It reads up t n-1 characters int a buffer and terminates the string with a null character. If fewer than n-1 characters are in the current line, it reads up t and including the newline and terminates the string with a null character. The sbrk service returns the address t a blck f memry cntaining n additinal bytes. This wuld be used fr dynamic memry allcatin. The exit service stps a prgram frm running. e.g. Print ut integer value cntained in register $t2 li $v0, 1 # lad apprpriate system call cde int register $v0; # cde fr printing integer is 1 mve $a0, $t2 # mve integer t be printed int $a0: $a0 = $t2 syscall # call perating system t perfrm peratin e.g. Read integer value, stre in RAM lcatin with label int_value (presumably declared in data sectin) li $v0, 5 # lad apprpriate system call cde int register $v0; # cde fr reading integer is 5 syscall # call perating system t perfrm peratin sw $v0, int_value # value read frm keybard returned in register $v0; # stre this in desired lcatin e.g. Print ut string (useful fr prmpts).data string1.asciiz "Print this.\n" # declaratin fr string variable, #.asciiz directive makes string null terminated.text main: li $v0, 4 # lad apprpriate system call cde int register $v0; # cde fr printing string is 4 la $a0, string1 # lad address f string t be printed int $a0 syscall # call perating system t perfrm print peratin e.g. T indicate end f prgram, use exit system call; thus last lines f prgram shuld be: li $v0, 10 # system call cde fr exit = 10 syscall # call perating sys

9

MIPS Architecture and Assembly Language Overview

MIPS Architecture and Assembly Language Overview MIPS Architecture and Assembly Language Overview Adapted from: http://edge.mcs.dre.g.el.edu/gicl/people/sevy/architecture/mipsref(spim).html [Register Description] [I/O Description] Data Types and Literals

More information

Compiling Techniques

Compiling Techniques Lecture 10: An Introduction to MIPS assembly 18 October 2016 Table of contents 1 Overview 2 3 Assembly program template.data Data segment: constant and variable definitions go here (including statically

More information

Programming in MIPS. Tutorial

Programming in MIPS. Tutorial Programming in MIPS Tutorial Data Types and Literals Data types: Instructions are all 32 bits byte(8 bits), halfword (2 bytes), word (4 bytes) a character requires 1 byte of storage an integer requires

More information

COMP2421 COMPUTER ORGANZATION. Lab 3

COMP2421 COMPUTER ORGANZATION. Lab 3 Lab 3 Objectives: This lab shows you some basic techniques and syntax to write a MIPS program. Syntax includes system calls, load address instruction, load integer instruction, and arithmetic instructions

More information

SPIM Instruction Set

SPIM Instruction Set SPIM Instruction Set This document gives an overview of the more common instructions used in the SPIM simulator. Overview The SPIM simulator implements the full MIPS instruction set, as well as a large

More information

Project #1 - Fraction Calculator

Project #1 - Fraction Calculator AP Cmputer Science Liberty High Schl Prject #1 - Fractin Calculatr Students will implement a basic calculatr that handles fractins. 1. Required Behavir and Grading Scheme (100 pints ttal) Criteria Pints

More information

Eastern Mediterranean University School of Computing and Technology Information Technology Lecture2 Functions

Eastern Mediterranean University School of Computing and Technology Information Technology Lecture2 Functions Eastern Mediterranean University Schl f Cmputing and Technlgy Infrmatin Technlgy Lecture2 Functins User Defined Functins Why d we need functins? T make yur prgram readable and rganized T reduce repeated

More information

ARM Programmer s Model

ARM Programmer s Model ARM Prgrammer s Mdel Hsung-Pin Chang Department f Cmputer Science Natinal Chung Hsing University PDF created with FinePrint pdffactry Pr trial versin www.pdffactry.cm Outline ARM Data Types ARM Prcessr

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Prf. Mntek Singh Fall 2016 Lab #8: A Basic Datapath and Cntrl Unit Issued Wed 10/12/16; Due Wed 10/26/16 (11:59pm)

More information

LAB 7 (June 29/July 4) Structures, Stream I/O, Self-referential structures (Linked list) in C

LAB 7 (June 29/July 4) Structures, Stream I/O, Self-referential structures (Linked list) in C LAB 7 (June 29/July 4) Structures, Stream I/O, Self-referential structures (Linked list) in C Due: July 9 (Sun) 11:59 pm 1. Prblem A Subject: Structure declaratin, initializatin and assignment. Structure

More information

Computer Organization and Architecture

Computer Organization and Architecture Campus de Gualtar 4710-057 Braga UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA Departament de Infrmática Cmputer Organizatin and Architecture 5th Editin, 2000 by William Stallings Table f Cntents I. OVERVIEW.

More information

Municode Website Instructions

Municode Website Instructions Municde Website instructins Municde Website Instructins The new and imprved Municde site allws yu t navigate t, print, save, e-mail and link t desired sectins f the Online Cde f Ordinances with greater

More information

- Replacement of a single statement with a sequence of statements(promotes regularity)

- Replacement of a single statement with a sequence of statements(promotes regularity) ALGOL - Java and C built using ALGOL 60 - Simple and cncise and elegance - Universal - Clse as pssible t mathematical ntatin - Language can describe the algrithms - Mechanically translatable t machine

More information

CS1150 Principles of Computer Science Midterm Review

CS1150 Principles of Computer Science Midterm Review CS1150 Principles f Cmputer Science Midterm Review Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Office hurs 10/15, Mnday, 12:05 12:50pm 10/17, Wednesday

More information

CS1150 Principles of Computer Science Introduction (Part II)

CS1150 Principles of Computer Science Introduction (Part II) Principles f Cmputer Science Intrductin (Part II) Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang UC. Clrad Springs Review Terminlgy Class } Every Java prgram must have at least

More information

CENG3420 Lab 1-1: MIPS assembly language programing

CENG3420 Lab 1-1: MIPS assembly language programing CENG3420 Lab 1-1: MIPS assembly language programing Haoyu YANG Department of Computer Science and Engineering The Chinese University of Hong Kong hyyang@cse.cuhk.edu.hk 2017 Spring 1 / 18 Overview SPIM

More information

Computer Organization and Architecture

Computer Organization and Architecture Campus de Gualtar 4710-057 Braga UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA Departament de Infrmática Cmputer Organizatin and Architecture 5th Editin, 2000 by William Stallings Table f Cntents I. OVERVIEW.

More information

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C SPRING 2012 Lab 1 - Calculatr Intrductin In this lab yu will be writing yur first

More information

Using SPLAY Tree s for state-full packet classification

Using SPLAY Tree s for state-full packet classification Curse Prject Using SPLAY Tree s fr state-full packet classificatin 1- What is a Splay Tree? These ntes discuss the splay tree, a frm f self-adjusting search tree in which the amrtized time fr an access,

More information

Data Structure Interview Questions

Data Structure Interview Questions Data Structure Interview Questins A list f tp frequently asked Data Structure interview questins and answers are given belw. 1) What is Data Structure? Explain. Data structure is a way that specifies hw

More information

$ARCSIGHT_HOME/current/user/agent/map. The files are named in sequential order such as:

$ARCSIGHT_HOME/current/user/agent/map. The files are named in sequential order such as: Lcatin f the map.x.prperties files $ARCSIGHT_HOME/current/user/agent/map File naming cnventin The files are named in sequential rder such as: Sme examples: 1. map.1.prperties 2. map.2.prperties 3. map.3.prperties

More information

Memory Layout & Stack

Memory Layout & Stack ENG338 Cmputer Organizatin and Architecture Instructin Set Architecture (ISA): Part 3 Winter 217 S. Areibi Schl f Engineering University f Guelph Memry Layut & Stack Tpics The Stack Memry Layut & Stack

More information

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 Iterative Code Design handout Style Guidelines handout

Lab 1 - Calculator. K&R All of Chapter 1, 7.4, and Appendix B1.2 Iterative Code Design handout Style Guidelines handout UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C SPRING 2013 Lab 1 - Calculatr Intrductin Reading Cncepts In this lab yu will be

More information

Create Your Own Report Connector

Create Your Own Report Connector Create Yur Own Reprt Cnnectr Last Updated: 15-December-2009. The URS Installatin Guide dcuments hw t cmpile yur wn URS Reprt Cnnectr. This dcument prvides a guide t what yu need t create in yur cnnectr

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Yu will learn the fllwing in this lab: The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Spring 2016 Lab Prject (PART A): A Full Cmputer! Issued Fri 4/8/16; Suggested

More information

Programming Project: Building a Web Server

Programming Project: Building a Web Server Prgramming Prject: Building a Web Server Submissin Instructin: Grup prject Submit yur cde thrugh Bb by Dec. 8, 2014 11:59 PM. Yu need t generate a simple index.html page displaying all yur grup members

More information

It has hardware. It has application software.

It has hardware. It has application software. Q.1 What is System? Explain with an example A system is an arrangement in which all its unit assemble wrk tgether accrding t a set f rules. It can als be defined as a way f wrking, rganizing r ding ne

More information

MIPS Assembly Language

MIPS Assembly Language MIPS Assembly Language Chapter 15 S. Dandamudi Outline MIPS architecture Registers Addressing modes MIPS instruction set Instruction format Data transfer instructions Arithmetic instructions Logical/shift/rotate/compare

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Yu will learn the fllwing in this lab: The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Designing a mdule with multiple memries Designing and using a bitmap fnt Designing a memry-mapped display Cmp 541 Digital

More information

C pointers. (Reek, Ch. 6) 1 CS 3090: Safety Critical Programming in C

C pointers. (Reek, Ch. 6) 1 CS 3090: Safety Critical Programming in C C pinters (Reek, Ch. 6) 1 Review f pinters A pinter is just a memry lcatin. A memry lcatin is simply an integer value, that we interpret as an address in memry. The cntents at a particular memry lcatin

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Prf. Mntek Singh Spring 2019 Lab #7: A Basic Datapath; and a Sprite-Based Display Issued Fri 3/1/19; Due Mn 3/25/19

More information

Low-level Software Security: Attacks and Countermeasures

Low-level Software Security: Attacks and Countermeasures Lw-level Sftware Security: Attacks and Cuntermeasures Prf Frank PIESSENS These slides are based n the paper: Lw-level Sftware Security by Example by Erlingssn, Yunan and Piessens Overview Intrductin Example

More information

Implementation of Authentication Mechanism for a Virtual File System

Implementation of Authentication Mechanism for a Virtual File System Implementatin f Authenticatin Mechanism fr a Virtual File System Prject fr Operating Systems Curse (CS 5204) Implemented by- Vinth Jagannathan Abhishek Ram Under the guidance f Dr Dennis Kafura Abstract

More information

Reading and writing data in files

Reading and writing data in files Reading and writing data in files It is ften very useful t stre data in a file n disk fr later reference. But hw des ne put it there, and hw des ne read it back? Each prgramming language has its wn peculiar

More information

DECISION CONTROL CONSTRUCTS IN JAVA

DECISION CONTROL CONSTRUCTS IN JAVA DECISION CONTROL CONSTRUCTS IN JAVA Decisin cntrl statements can change the executin flw f a prgram. Decisin cntrl statements in Java are: if statement Cnditinal peratr switch statement If statement The

More information

INSTALLING CCRQINVOICE

INSTALLING CCRQINVOICE INSTALLING CCRQINVOICE Thank yu fr selecting CCRQInvice. This dcument prvides a quick review f hw t install CCRQInvice. Detailed instructins can be fund in the prgram manual. While this may seem like a

More information

Assignment #5: Rootkit. ECE 650 Fall 2018

Assignment #5: Rootkit. ECE 650 Fall 2018 General Instructins Assignment #5: Rtkit ECE 650 Fall 2018 See curse site fr due date Updated 4/10/2018, changes nted in green 1. Yu will wrk individually n this assignment. 2. The cde fr this assignment

More information

Lab 5 Sorting with Linked Lists

Lab 5 Sorting with Linked Lists UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C WINTER 2013 Lab 5 Srting with Linked Lists Intrductin Reading This lab intrduces

More information

COP2800 Homework #3 Assignment Spring 2013

COP2800 Homework #3 Assignment Spring 2013 YOUR NAME: DATE: LAST FOUR DIGITS OF YOUR UF-ID: Please Print Clearly (Blck Letters) YOUR PARTNER S NAME: DATE: LAST FOUR DIGITS OF PARTNER S UF-ID: Please Print Clearly Date Assigned: 15 February 2013

More information

Laboratory Exercise 3 Using the PIC18

Laboratory Exercise 3 Using the PIC18 Labratry Exercise 3 Using the PIC18 Until this pint, the user has prgrammed the FPGA Interface Bard using the FTDI and has nt been intrduced t the n bard PIC18F2550 micrcntrller. The purpse f this experiment

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Yu will learn the fllwing in this lab: The UNIVERSITY f NORTH CAROLINA at CHAPEL HILL Cmp 541 Digital Lgic and Cmputer Design Prf. Mntek Singh Fall 2017 Lab #8: A Full Single-Cycle MIPS Prcessr Issued

More information

Lab 4. Name: Checked: Objectives:

Lab 4. Name: Checked: Objectives: Lab 4 Name: Checked: Objectives: Learn hw t test cde snippets interactively. Learn abut the Java API Practice using Randm, Math, and String methds and assrted ther methds frm the Java API Part A. Use jgrasp

More information

Project 4: System Calls 1

Project 4: System Calls 1 CMPT 300 1. Preparatin Prject 4: System Calls 1 T cmplete this assignment, it is vital that yu have carefully cmpleted and understd the cntent in the fllwing guides which are psted n the curse website:

More information

DUO LINK 4 APP User Manual V- A PNY Technologies, Inc. 1. PNY Technologies, Inc. 34.

DUO LINK 4 APP User Manual V- A PNY Technologies, Inc. 1. PNY Technologies, Inc. 34. 34. 1. Table f Cntents Page 1. Prduct Descriptin 4 2. System Requirements 5 3. DUO LINK App Installatin 5 4. DUO LINK App Mving Screens 7 5. File Management 5.1. Types f views 8 5.2. Select Files t Cpy,

More information

Lab 0: Compiling, Running, and Debugging

Lab 0: Compiling, Running, and Debugging UNIVERSITY OF CALIFORNIA, SANTA CRUZ BOARD OF STUDIES IN COMPUTER ENGINEERING CMPE13/L: INTRODUCTION TO PROGRAMMING IN C SPRING 2012 Lab 0: Cmpiling, Running, and Debugging Intrductin Reading This is the

More information

Scroll down to New and another menu will appear. Select Folder and a new

Scroll down to New and another menu will appear. Select Folder and a new Creating a New Flder Befre we begin with Micrsft Wrd, create a flder n yur Desktp named Summer PD. T d this, right click anywhere n yur Desktp and a menu will appear. Scrll dwn t New and anther menu will

More information

3 AXIS STAGE CONTROLLER

3 AXIS STAGE CONTROLLER CORTEX CONTROLLERS 50, St Stephen s Pl. Cambridge CB3 0JE Telephne +44(0)1223 368000 Fax +44(0)1223 462800 http://www.crtexcntrllers.cm sales@crtexcntrllers.cm 3 AXIS STAGE CONTROLLER Instructin Manual

More information

Ascii Art Capstone project in C

Ascii Art Capstone project in C Ascii Art Capstne prject in C CSSE 120 Intrductin t Sftware Develpment (Rbtics) Spring 2010-2011 Hw t begin the Ascii Art prject Page 1 Prceed as fllws, in the rder listed. 1. If yu have nt dne s already,

More information

6.828 Lecture Notes: x86 and PC architecture

6.828 Lecture Notes: x86 and PC architecture 6.828 Lecture Ntes: x86 and PC architecture Outline PC architecture x86 instructin set gcc calling cnventins PC emulatin PC architecture A full PC has: an x86 CPU with registers, executin unit, and memry

More information

Using CppSim to Generate Neural Network Modules in Simulink using the simulink_neural_net_gen command

Using CppSim to Generate Neural Network Modules in Simulink using the simulink_neural_net_gen command Using CppSim t Generate Neural Netwrk Mdules in Simulink using the simulink_neural_net_gen cmmand Michael H. Perrtt http://www.cppsim.cm June 24, 2008 Cpyright 2008 by Michael H. Perrtt All rights reserved.

More information

eprocurement Requisition Special Request Goods

eprocurement Requisition Special Request Goods eprcurement Requisitin Special Request Gds Intrductin Fllw this guide t create a requisitin fr an item frm a supplier where the gds are nt listed in any f the University internal r nline eprcurement catalgues.

More information

Assembly labs start this week. Don t forget to submit your code at the end of your lab section. Download MARS4_5.jar to your lab PC or laptop.

Assembly labs start this week. Don t forget to submit your code at the end of your lab section. Download MARS4_5.jar to your lab PC or laptop. CSC258 Week 10 Logistics Assembly labs start this week. Don t forget to submit your code at the end of your lab section. Download MARS4_5.jar to your lab PC or laptop. Quiz review A word-addressable RAM

More information

Extended Vendors lets you: Maintain vendors across multiple Sage 300 companies using the Copy Vendors functionality. o

Extended Vendors lets you: Maintain vendors across multiple Sage 300 companies using the Copy Vendors functionality. o Extended Vendrs Extended Vendrs is an enhanced replacement fr the Sage Vendrs frm. It prvides yu with mre infrmatin while entering a PO and fast access t additinal PO, Vendr, and Item infrmatin. Extended

More information

Silent Messenger MegaTech Control Console

Silent Messenger MegaTech Control Console Silent Messenger MegaTech Cntrl Cnsle TRAFIX Operating System (Ver. 1.9.17) Menu Structure & Operating Instructins: SlarTech Splash Screen The SlarTech Splash Screen is presented at the cmpletin f system

More information

Computer Systems and Architecture

Computer Systems and Architecture Computer Systems and Architecture Stephen Pauwels MIPS: Introduction Academic Year 2018-2019 Outline MIPS Registers and Memory Language Constructs Exercises Assembly Language Very closely related to machine

More information

The following screens show some of the extra features provided by the Extended Order Entry screen:

The following screens show some of the extra features provided by the Extended Order Entry screen: SmartFinder Orders Extended Order Entry Extended Order Entry is an enhanced replacement fr the Sage Order Entry screen. It prvides yu with mre functinality while entering an rder, and fast access t rder,

More information

MIPS function continued

MIPS function continued MIPS function continued Review Functions Series of related instructions one after another in memory Called through the jal instruction Pointed to by a label like any other Returns by calling Stack Top

More information

STIDistrict AL Rollover Procedures

STIDistrict AL Rollover Procedures 2009-2010 STIDistrict AL Rllver Prcedures General Infrmatin abut STIDistrict Rllver IMPORTANT NOTE! Rllver shuld be perfrmed between June 25 and July 25 2010. During this perid, the STIState applicatin

More information

McGill University School of Computer Science COMP-206. Software Systems. Due: September 29, 2008 on WEB CT at 23:55.

McGill University School of Computer Science COMP-206. Software Systems. Due: September 29, 2008 on WEB CT at 23:55. Schl f Cmputer Science McGill University Schl f Cmputer Science COMP-206 Sftware Systems Due: September 29, 2008 n WEB CT at 23:55 Operating Systems This assignment explres the Unix perating system and

More information

Procedures for Developing Online Training

Procedures for Developing Online Training Prcedures fr Develping Online Training Fllwing are prcedures fr develping nline training mdules t be psted n Online@UT (Blackbard Learn). These steps were develped thrugh a prcess and will cntinue t be

More information

Uploading Files with Multiple Loans

Uploading Files with Multiple Loans Uplading Files with Multiple Lans Descriptin & Purpse Reprting Methds References Per the MHA Handbk, servicers are required t prvide peridic lan level data fr activity related t the Making Hme Affrdable

More information

CLIC ADMIN USER S GUIDE

CLIC ADMIN USER S GUIDE With CLiC (Classrm In Cntext), teaching and classrm instructin becmes interactive, persnalized, and fcused. This digital-based curriculum, designed by Gale, is flexible allwing teachers t make their classrm

More information

MIPS and QTSPIM Recap. MIPS Architecture. Cptr280. Dr Curtis Nelson. Cptr280, Autumn

MIPS and QTSPIM Recap. MIPS Architecture. Cptr280. Dr Curtis Nelson. Cptr280, Autumn MIPS and QTSPIM Recap Cptr280 Dr Curtis Nelson MIPS Architecture The MIPS architecture is considered to be a typical RISC architecture Simplified instruction set Programmable storage 32 x 32-bit General

More information

MOS Access 2013 Quick Reference

MOS Access 2013 Quick Reference MOS Access 2013 Quick Reference Exam 77-424: MOS Access 2013 Objectives http://www.micrsft.cm/learning/en-us/exam.aspx?id=77-424 Create and Manage a Database Create a New Database This bjective may include

More information

Tekmos. TK68020 Microprocessor. Features. General Description. 9/03/14 1

Tekmos. TK68020 Microprocessor. Features. General Description. 9/03/14   1 Tekms TK68020 Micrprcessr September 3, 2014 Prduct Overview Features Addressing Mde Extensins fr Enhanced Supprt f High-Level Languages Object-Cde Cmpatible with Earlier M68000 Micrprcessrs Addressing

More information

Chapter 2 Assemblers. PDF created with FinePrint pdffactory Pro trial version

Chapter 2 Assemblers. PDF created with FinePrint pdffactory Pro trial version Chapter 2 Assemblers 1 PDF created with FinePrint pdffactry Pr trial versin www.pdffactry.cm Outline 2.1 Basic Assembler Functins 2.2 Machine-Dependent Assembler Features 2.3 Machine-Independent Assembler

More information

We will study the MIPS assembly language as an exemplar of the concept.

We will study the MIPS assembly language as an exemplar of the concept. MIPS Assembly Language 1 We will study the MIPS assembly language as an exemplar of the concept. MIPS assembly instructions each consist of a single token specifying the command to be carried out, and

More information

BI Publisher TEMPLATE Tutorial

BI Publisher TEMPLATE Tutorial PepleSft Campus Slutins 9.0 BI Publisher TEMPLATE Tutrial Lessn T2 Create, Frmat and View a Simple Reprt Using an Existing Query with Real Data This tutrial assumes that yu have cmpleted BI Publisher Tutrial:

More information

CS1150 Principles of Computer Science Methods

CS1150 Principles of Computer Science Methods CS1150 Principles f Cmputer Science Methds Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Opening Prblem Find the sum f integers frm 1 t 10, frm 20

More information

Introduction to MIPS Processor

Introduction to MIPS Processor Introduction to MIPS Processor The processor we will be considering in this tutorial is the MIPS processor. The MIPS processor, designed in 1984 by researchers at Stanford University, is a RISC (Reduced

More information

QtSPIM and MARS : MIPS Simulators

QtSPIM and MARS : MIPS Simulators QtSPIM and MARS : MIPS Simulators Learning MIPS & SPIM MIPS assembly is a low-level programming language The best way to learn any programming language is to write code We will get you started by going

More information

Adverse Action Letters

Adverse Action Letters Adverse Actin Letters Setup and Usage Instructins The FRS Adverse Actin Letter mdule was designed t prvide yu with a very elabrate and sphisticated slutin t help autmate and handle all f yur Adverse Actin

More information

Due Date: Lab report is due on Mar 6 (PRA 01) or Mar 7 (PRA 02)

Due Date: Lab report is due on Mar 6 (PRA 01) or Mar 7 (PRA 02) Lab 3 Packet Scheduling Due Date: Lab reprt is due n Mar 6 (PRA 01) r Mar 7 (PRA 02) Teams: This lab may be cmpleted in teams f 2 students (Teams f three r mre are nt permitted. All members receive the

More information

C++ Reference Material Programming Style Conventions

C++ Reference Material Programming Style Conventions C++ Reference Material Prgramming Style Cnventins What fllws here is a set f reasnably widely used C++ prgramming style cnventins. Whenever yu mve int a new prgramming envirnment, any cnventins yu have

More information

CSE 361S Intro to Systems Software Lab #2

CSE 361S Intro to Systems Software Lab #2 Due: Thursday, September 22, 2011 CSE 361S Intr t Systems Sftware Lab #2 Intrductin This lab will intrduce yu t the GNU tls in the Linux prgramming envirnment we will be using fr CSE 361S this semester,

More information

Creating Relativity Dynamic Objects

Creating Relativity Dynamic Objects Creating Relativity Dynamic Objects Nvember 28, 2017 - Versin 9.4 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

More information

MyUni Adding Content. Date: 29 May 2014 TRIM Reference: D2013/ Version: 1

MyUni Adding Content. Date: 29 May 2014 TRIM Reference: D2013/ Version: 1 Adding Cntent MyUni... 2 Cntent Areas... 2 Curse Design... 2 Sample Curse Design... 2 Build cntent by creating a flder... 3 Build cntent by creating an item... 4 Cpy r mve cntent in MyUni... 5 Manage files

More information

ClassFlow Administrator User Guide

ClassFlow Administrator User Guide ClassFlw Administratr User Guide ClassFlw User Engagement Team April 2017 www.classflw.cm 1 Cntents Overview... 3 User Management... 3 Manual Entry via the User Management Page... 4 Creating Individual

More information

Avaya 9610 IP Telephone End User Guide

Avaya 9610 IP Telephone End User Guide Avaya 9610 IP Telephne End User Guide 9610 IP Telephne End User Guide 1 P age Table f Cntents Abut Yur Telephne... 3 Abut Scrlling and Navigatin... 3 Selecting Names, Numbers, r Features... 3 Starting

More information

CITI Technical Report 08-1 Parallel NFS Block Layout Module for Linux

CITI Technical Report 08-1 Parallel NFS Block Layout Module for Linux CITI Technical Reprt 08-1 Parallel NFS Blck Layut Mdule fr Linux William A. Adamsn, University f Michigan andrs@citi.umich.edu Frederic Isaman, University f Michigan iisaman@citi.umich.edu Jasn Glasgw,

More information

Date: October User guide. Integration through ONVIF driver. Partner Self-test. Prepared By: Devices & Integrations Team, Milestone Systems

Date: October User guide. Integration through ONVIF driver. Partner Self-test. Prepared By: Devices & Integrations Team, Milestone Systems Date: Octber 2018 User guide Integratin thrugh ONVIF driver. Prepared By: Devices & Integratins Team, Milestne Systems 2 Welcme t the User Guide fr Online Test Tl The aim f this dcument is t prvide guidance

More information

I. Introduction: About Firmware Files, Naming, Versions, and Formats

I. Introduction: About Firmware Files, Naming, Versions, and Formats I. Intrductin: Abut Firmware Files, Naming, Versins, and Frmats The UT-4500-A Series Upcnverters and DT-4500-A Series Dwncnverters stre their firmware in flash memry, which allws the system t uplad firmware

More information

Memory Hierarchy. Goal of a memory hierarchy. Typical numbers. Processor-Memory Performance Gap. Principle of locality. Caches

Memory Hierarchy. Goal of a memory hierarchy. Typical numbers. Processor-Memory Performance Gap. Principle of locality. Caches Memry Hierarchy Gal f a memry hierarchy Memry: hierarchy f cmpnents f varius speeds and capacities Hierarchy driven by cst and perfrmance In early days Primary memry = main memry Secndary memry = disks

More information

instructions aligned is little-endian

instructions aligned is little-endian MEMÓRIA Data Types These instructions load and store aligned data: Load word (lw) Load halfword (lh) Load halfword unsigned (lhu) Load byte (lb) Load byte unsigned (lbu) Store word (sw) Store halfword

More information

o,... ,... It) IBM 5110 Basic Reference,Manual

o,... ,... It) IBM 5110 Basic Reference,Manual ---- - ----- --_ - - -.- IBM 5110 Basic Reference,Manual,...,... It) Preface This manual cntains specific infrmatin abut the IBM 5110 Cmputer and its BASIC prgramming capability. Prerequisite Publicatin

More information

Xilinx Answer Xilinx PCI Express DMA Drivers and Software Guide

Xilinx Answer Xilinx PCI Express DMA Drivers and Software Guide Xilinx Answer 65444 Xilinx PCI Express DMA Drivers and Sftware Guide Imprtant Nte: This dwnladable PDF f an Answer Recrd is prvided t enhance its usability and readability. It is imprtant t nte that Answer

More information

1 Getting and Extracting the Upgrader

1 Getting and Extracting the Upgrader Hughes BGAN-X 9202 Upgrader User Guide (Mac) Rev 1.0 (23-Feb-12) This dcument explains hw t use the Hughes BGAN Upgrader prgram fr the 9202 User Terminal using a Mac Nte: Mac OS X Versin 10.4 r newer is

More information

MediaTek LinkIt Development Platform for RTOS Memory Layout Developer's Guide

MediaTek LinkIt Development Platform for RTOS Memory Layout Developer's Guide MediaTek LinkIt Develpment Platfrm fr RTOS Memry Layut Develper's Guide Versin: 1.1 Release date: 31 March 2016 2015-2016 MediaTek Inc. MediaTek cannt grant yu permissin fr any material that is wned by

More information

CS1150 Principles of Computer Science Methods

CS1150 Principles of Computer Science Methods CS1150 Principles f Cmputer Science Methds Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang CS1150 UC. Clrad Springs Passing Parameters public static vid nprintln(string message,

More information

Web of Science Institutional authored and cited papers

Web of Science Institutional authored and cited papers Web f Science Institutinal authred and cited papers Prcedures written by Diane Carrll Washingtn State University Libraries December, 2007, updated Nvember 2009 Annual review f paper s authred and cited

More information

MIPS ISA and MIPS Assembly. CS301 Prof. Szajda

MIPS ISA and MIPS Assembly. CS301 Prof. Szajda MIPS ISA and MIPS Assembly CS301 Prof. Szajda Administrative HW #2 due Wednesday (9/11) at 5pm Lab #2 due Friday (9/13) 1:30pm Read Appendix B5, B6, B.9 and Chapter 2.5-2.9 (if you have not already done

More information

Structure Query Language (SQL)

Structure Query Language (SQL) Structure Query Language (SQL) 1. Intrductin SQL 2. Data Definitin Language (DDL) 3. Data Manipulatin Language ( DML) 4. Data Cntrl Language (DCL) 1 Structured Query Language(SQL) 6.1 Intrductin Structured

More information

Copyrights and Trademarks

Copyrights and Trademarks Cpyrights and Trademarks Sage One Accunting Cnversin Manual 1 Cpyrights and Trademarks Cpyrights and Trademarks Cpyrights and Trademarks Cpyright 2002-2014 by Us. We hereby acknwledge the cpyrights and

More information

RISKMAN REFERENCE GUIDE TO USER MANAGEMENT (Non-Network Logins)

RISKMAN REFERENCE GUIDE TO USER MANAGEMENT (Non-Network Logins) Intrductin This reference guide is aimed at managers wh will be respnsible fr managing users within RiskMan where RiskMan is nt cnfigured t use netwrk lgins. This guide is used in cnjunctin with the respective

More information

CS4500/5500 Operating Systems Computer and Operating Systems Overview

CS4500/5500 Operating Systems Computer and Operating Systems Overview Operating Systems Cmputer and Operating Systems Overview Yanyan Zhuang Department f Cmputer Science http://www.cs.uccs.edu/~yzhuang UC. Clrad Springs Ref. MOS4E, OS@Austin, Clumbia, UWisc Overview Recap

More information

CSE 3320 Operating Systems Page Replacement Algorithms and Segmentation Jia Rao

CSE 3320 Operating Systems Page Replacement Algorithms and Segmentation Jia Rao CSE 0 Operating Systems Page Replacement Algrithms and Segmentatin Jia Ra Department f Cmputer Science and Engineering http://ranger.uta.edu/~jra Recap f last Class Virtual memry Memry verlad What if the

More information

InformationNOW Standardized Tests

InformationNOW Standardized Tests InfrmatinNOW Standardized Tests Abut this Guide This Quick Reference Guide prvides an verview f the ptins available fr tracking standardized tests in InfrmatinNOW. Creating Standardized Tests T create

More information

TaiRox Mail Merge. Running Mail Merge

TaiRox Mail Merge. Running Mail Merge TaiRx Mail Merge TaiRx Mail Merge TaiRx Mail Merge integrates Sage 300 with Micrsft Wrd s mail merge functin. The integratin presents a Sage 300 style interface frm within the Sage 300 desktp. Mail Merge

More information

MIPS Reference Guide

MIPS Reference Guide MIPS Reference Guide Free at PushingButtons.net 2 Table of Contents I. Data Registers 3 II. Instruction Register Formats 4 III. MIPS Instruction Set 5 IV. MIPS Instruction Set (Extended) 6 V. SPIM Programming

More information

MIPS Processor Overview

MIPS Processor Overview MIPS Processor Cptr280 Dr Curtis Nelson MIPS Processor Overview Hardware Design philosophy Architecture Software Assembly language program structure QTSpim simulator Example programs 1 MIPS Processor Power

More information