An Efficient Parallel Transparent Diagnostic BIST

Size: px
Start display at page:

Download "An Efficient Parallel Transparent Diagnostic BIST"

Transcription

1 An Efficient Parallel Transparent Diagnostic BIST D. C. Huang and W. B. Jone Department of CS & IE, National Chung-Cheng University, Taiwan, R.O.C. Abstract- In this paper, we propose a new transparent Built-In Self-Diagnosis ( BISD ) method to diagnose multiple embedded memory arrays with various sizes an parallel. A new tmnspamnt diagnostic interface has been proposed to perform testing in n m l mode. By tolerating redundant read/urite/shift operations, we develop a new mamh algorithm called TDiagRSMarch to achieve the ywls of low hardware overhead, lower test time, and hiyh test coverage. Experh"ea1 results demonstrate that the diagnostic eflciency of TDiagRSMamh is independent on mewry topology, defect-type distribution, and degree of pamllelism. Inda Words: Memory Test, Built-In Self-Diagnosis, II)ansparent diagnosis I. INTRODUCTION Because of the improvement of VLSI technologies, many components can be fabricated into a single chip. This induces various testing problems due to the inaccessibility of components; especially in memory modules, all transistors are so highly condensed that they are very vulnerable to fabrication defects. In general, some memory arrays are used to store some valuable data and the correctness of these also dominate the function of chip. For example in a superscalar CPU chip, the reorder buffer is a set of memory arrays used to control the execution order of instructions. Thus, the testing and diagnosis of memory buffers are very important. However, memory devices contained on a single chip might be defective during the normal operation. To resolve such a problem, an on-line repairing scheme is proposed. Thus, a more cost-efficient solution is to use transparent diagnosis by which memory devices on a chip is diagnosed periodically. The basic requirement of transparent diagnosis is that the memory contents must be restored to the initial state such that the normal operations can be resumed. Historically, several papers have discussed BISD and BISR of memory from different aspects[l][2][][4][5][6]. All these papers perform the diagnosis in an off-line mode. That is, the diagnosis of memory can not be performed in the normal operation mode. Thus, we need to stop the normal operation mode and to enter into the diagnostic mode. To test memory in normal mode, there are discussed in several papers [7][8][9][10][11][121 and a transparent testing concept has been proposed. In other words, the content of memory can be recovered to its original format after executing the testing. However, U U U Fig. 1. The serial interfacing technique for a memory array. these papers do not mention about the diagnostic issue. Most of these papers are related to the testing issue. In [1], a software method has been proposed to diagnose the memory in a transparent and a relocation scheme is also presented. Here, we develop an efficient method to concurrently diagnose and repair spatially distributed memory modules with different sizes. To save test data routing area, the serial interfacing technique is used. Also, a circular comparator is proposed to cope with the diagnosis and identscation of defective cell. Based on this test architecture, only a single test controller is required to sup port the BET and BISD functions for all memory modules. Further, only very limited number of test signals are required to be routed on the entire chip. After investigating the relationships between different fault types, a parallel diagnosis method called TDiagRSMarch is pre posed to generate test patterns and evaluate test output responses. The TDiagRSMarch algorithm guarantee the identification of all stuck-at, transition, coupling faults occurring at all memory modules. 11. BACKGROUND The serial interfacing technique shown in Figure 1 was proposed to test several embedded memory arrays using a BIST technique in which only two serial scan data signals are required for each memory module to access the memory contents [14]. Thus, considerable routing area can be saved. This technique is very useful for memory testing when many buffers are spaciously distributed on the entire chip. The basic idea of the serial interfacing technique is to synthesize the 1/0 port of each buffer as a scan chain where the test patterns can be provided and memory contents can be read (Figure 1). Based on /00 $ IEEE 299

2 Fig. 2. A diagnostic serial interface. this test architecture, the SMarch algorithm is used to generate test patterns and to evaluate test responses for each memory array [14]. In [15], we proposed an efficient parallel BIST method called RSMarch to test a set of spatially distributed memory modules with various Sizes. The major component of each small buffer is the memory cell array which is generally implemented using SRAM. Thus, an appropriate memory cell array fault model must be used to deal with the SRAM faults [16]. Now, we give detailed explanations about the memory fault model used in this work. 1. Stuck-at fault (SAF): The logic value of a memory cell is always stuck-at 1 (SA1) or 0 (SAO). 2. Transition Fault (TF): A cell fails to undergo a 0-1 transition (TF O+l) and/or a 1+0 transition (TF 1-0).. Coupling Fault (CF): In this case, a write operation in one cell i can influence the value of another cell j, and cell i is called the coupling cell whereas cell j is called the coupled cell. As in [16], three types of coupling faults are considered below: State coupling fault (CFst): A coupled cell is forced to a certain value 5 if the coupling cell is in a given state Y. Indempotent coupling fault (CFid): A 0+1 and/or 1-0 transition in the coupling cell forces a certain value in the coupled cell. e Inversion coupling fault (CFin): A 0-1 and/or 1+0 transition in the coupling cell inverts the content of the coupled cell A NEW DIAGNOSTIC SERIAL INTERFACE AND ALGORITHM A. A New Diagnostic Serial Interface A new diagnostic serial interface has been proposed in our research to diagnose multiple embedded memories in r an on-line and parallel manner. In Figure 2, we propose a serial interface consisting of three parts: (1) test pattern scheme, (2) parallel testing scheme and () identification scheme. As mentioned above, we have SAF, TF and CF needed to be diagnosed. Hence, each cell must be toggled and different coupling excitation must be sensitized. To provide a test pattern having 1+0, 041, " ?-"lo10..." and "lolo..."+" OlOl...", we select Q or /Q as test pattern by switching the input of mu y. Thus, a SAF, TF and CF cm be sensitized and tested. Further, we also need to shift the tested result out. However, this will result in the changed content of memory if we adopt the traditional serial interface shown in the Figure 1 and this leads to the content of memory cannot be recovered to its original data after diagnosing. Thus, we propose a circular chain to latch and shift the tested result out. Based on this scheme, the content of current tested word cannot be changed and can be used as an excitation data to perform the test. To diagnose the faulty cell of various sizes of memory buffers, we propose a circular comparator to identify these faulty cells in a parallel manner. The basic concept of this circular comparator is that all tested result will be stored in the circular comparator without any overriding because of circular rotating. However, the location of each cell Iocated on the circular comparator might be different due to different widths. Conceptually, we illustrate an example as shown in the Figure (a) and we only take the M1 and M2 for convenience, In Figure (a), if the tested word ( i.e., middle word ) is "1001" after performing the M1 (i.e., /ai) and the data "1001" will be read out and be shifted into the Buffer A. Similarly, the tested word will become as "0110" after executing the M2 (i.e., ai) and the data will be stored into the Buffer B. Thus, the errorneous cells can be identified if there exist a "0" in the comparator, that is, the result is not identical to /ai XOR ai (i.e., "1" ) since there exist some errors. In other words, we can identify the defective cells by comparing the output data between every two successive marches (M1 to M7). Meanwhile, the benefit of this method is that only two buffers are required for each memory module and this leads an amount of hardware saved. Also, every comparing result can be predicated since the value of correct data are predictable. Here, we assume there do not exist two more faults for each cell, thus the fault masking effect will not occur while we compare two data of related bit from the two successive marches. In order to resolve the parallel diagnosis, we adopt a circular comparator as shown in the Figure (b) ( dash line ). That is, we are able to diagnose multiple memory with different width in parallel. In Figure (a) 00

3 MI MI Q m) Fig.. An idendify scheme and a circular comparator while performing a parallel diagnosis. Fig. 5. A seven march TDiagRSMarch algorithm. Teed ETOUT) -moly word /i' i-... Fig. 4. A diagnostic group having three words. and (b), we have two memory width of 4 bits and bits accordingly. Clearly, we will shift the tested word out for four times since the maximum width is "4". However, one more shift operation is required for the smaller size one and the result on the most right side will be shifted out and this result in one result lost. This can be solved via a circular comparator. In Figure (b), we can shift the data from the latch for four times and the result in the Buffer C is "101" since the most right bit (i.e., 1) will rotate and shift into the most left bit of the Buffer C. Similarly, we can get the data of "010" from the Buffer D. B. Parallel 1ansparent Diagnostic March Algorithm B.l Basic Concept As previous section mentioned, we can identify the faulty cells by comparing the current tested word with the previous tested word. However, it might require many memory buffers to store the reference data. Here, we apply a method in which can perform the comparison for each word simultaneously and this has the advantage of saving memory, and the fault coverage still can be maintained. To achieve this, we adopt three words as an unit for each march operations as shown in Figure 4. In Figure 4, we can divide the memory into five tested group. The basic concept is to ensure that a test pattern can be sensitized for each cell and we only consider the neighbor cells surrounding a tested cell in realistic. Hence, we collect three words as a tested group and we perform all march operations on these three words un- til all words in memory have been diagnosed. Clearly, we only need to shift out the middle word because the middle word is surrounded by the other two words, and all excitations from other words and within the tested word can be sensitized after accomplishing all march o p erations on these three words. In Figure 4, the first word can be diagnosed after the first tested group has been diagnosed; similarly, the second to fifth word can be diagnosed after the second tested group to fifth tested group have been diagnosed. Because of reading the middle word in each operation, we should have a special method to deal with the first and last word. That is, we start from the position "-1" which is ahead one position for the first word; likewise, we stop on the position "6" which is over one position for the last word. Therefore, every word has the chance to be the middle word (i.e., read out ) and the designed diagnostic circuit can be regularity and simplicity. B.2 Diagnostic Algorithm To drive the new serial interface, we have proposed a new parallel diagnostic algorithm and it can diagnose multiple memories in parallel. The new diagnostic algorithm consists of seven march elements as shown in Figure 5. The march element includes read/shift part and test pattern generation part (i.e. read/ write) for the middle tested word. In contrast, the read/shift part will not be required for the other two words because only the middle word to be read for veri6cation. The read/shift operation is used to verify the correctness of tested word and it will not change the data in the memory. Here, we illustrate an example and have two memory buffers with size of 4x5 and 6x5 (i.e., width x length) to be diagnosed. The r(ai)w(/ai) operations are used to sensitize the coupling fault between word 1, 2,, 4 and 5, accordingly. Also, the operations r(ai)s(ai) are used to read and move out the result for the middle word (i.e. word j+l). The buffer A will induce two redundant operations for the middle word due to smaller width (i.e. ai)^-*). The redundant operation will not have any influence on the 01

4 memory content because the shift operation occurring on the shifter. Also, the operation r(ai)s(ai) is used to verify the correctness of the word 1. Thus, the word 1 can be verified thoroughly by performing the M1 to M7. Similarly, the word 2,, 4 and 5 can be verified while j varies from 1 to 4. In general, the j varies from -1 to n-1 and this results in that all words (i.e. word 1 to n) can be verified successively. In RSMarch, besides horizontally redundant operations, vertically redundant operations are allowed for memory arrays with smaller word numbers to simplify the test control design. But, vertically redundant operations must be avoided in TDiagRSMarch because of the disparity between both march methods. In RSMarch, the vertically redundant operations do not change the memory contents for memory arrays which are excessively tested. Thus, there is no side-effect existent and this good property can be used to save test hardware. However, the w(/ai) operations in TDiagRSMarch will cause trouble if they are excessively applied to shallow memory arrays. For example, if vertically redundant o p erations are allowed to be applied to memory array A, then the first round of vertically redundant operations will complement the entire memory contents back to the original values. Further, the second round of vertically redundant operations will drive part of array A complemented while part of A uncomplemented. Thus, this side-effect makes the test pattern generation process extremely diflicult to control. Fortunately, this problem can be easily solved by terminating the march operations temporarily, every time when a shallow memory array has been completely scanned by a specific march element. Thus, the testing of shallow arrays will be terminated until the deepest memory array finishes the current march element. The terminating circuit can be easily designed by using the selection line of the last word in each shallow memory array. Conceptually, the middle word of each tested group is the word under diagnosis. For a fault between different word we will generate a test pattern from the upper or lower word of the tested group. Thus, the influenced result will be left in the middle word and this result can be verified via the operation of r(ai)s(ai), where the r and s denote the read and shift, respectively. For example in the Figure 6, we select the word 0,l and 2 as a tested group and the word 1 ( middle word ) is selected as a tested word. Thus, for the M1, we perform the r(ai)w(/ai) for the word 0 and then execute the r(ai)s(ai) for the word 1. After that, the content of word 0 will become to 110 and the original data of word 1 (i.e., 101) will be read into the latch and then shift the latched data into comparator. Finally, the value of *** Or&?.i.il ; 2 M ( p B WI 0 0 M4 ( 9 M5 ( p Fig. 6. A transparent diagnostic example. M6( p Em El 0 0 H word 1 become to 010 due to perform the r(ai)w(/ai) operation. Next, we perform r(ai)w(/ai) for the word 2 and we have found that 001 left in the word 2 after performing the M1. Similarly, we will perform the M2 to M7 for the word 0, 1 and 2 repeatedly. Moreover, we know the M1 to M4 is used to diagnose the fault between different words. To diagnose a fault within a word, we will perform M5 to M7 and we have to ensure that the pattern of OO, 01, 10 and 11 can be existing for any two neighbor cells. Clearly in the TDiagRSMarch, a CFst fault can be diagnosed by the pattern of di, where the di represents the data with format of Q/QQ and the Q means the data in the memory currently. Thus, the word 1 will become to 111 after the M5 since the we perform r(ai)s(ai) and r(ai)w(di) and the di is the format of Q/QQ, that is, 101 ( i.e. the word 1 in the M4 ) to 111 ( the word 1 after performing the M5). Iv. EXPERIMENTAL RESULTS In this section, we will perform some experiments to demonstrate the seven march TDiagRSMarch algorithm. To evaluate this experiment, we have three configurations proposed here to emulate some memory structures such as Configuration 1 consists of three memory modules: A (120x40), B (50x70), and C (45~55)~ where notation (U x v) represents that the memory array has U words while each word contains v bits. Configuration 2 consists of memory arrays: D (2x70), E (5Ox56), and F (120x4). Moreover, there are five memory modules: G (2x70), H (5Ox56), I (120~4)~ J (45x2), and K (65x4) for configuration. First, we assume there are 5 % cells defective without loss of generality. Further, we assumed that five different fault types (SAF, TF, CFst, CFid, and CFin) can occur in the memory arrays. Each time, we randomly injected one fault into one 02

5 memory module of each configuration and then used TDiagRSMarch to diagnose the fault. The simulation process was repeated for 1000 times for each fault type and the results are shown in Figure 7. From the diagnostic statistics, it can be found that SAF, TF and CFst can be completely detected. However, the fault coverage for CFid and CFin is about 60%. The reason is due to the fact that TDiagRSMarch is a word-based diagnostic method, and many coupling faults occurring in the same word cannot be detected. Fortunately, in the case of write-dominating coupling effects, CFid and CFin disappear so both can be treated as detected as shown in Figure 7; the required numbers of read operations, write operations, and shift operations for each execution of TDiagRSMarch are also presented. In fact, the numbers are exactly those required for each memory configuration when it is physically tested on the chip. Here, we require 104 read operations, 2266 write operations and 840 shift operations for each configuration. Clearly, the diagnostic time is dependent only on the maximum width and the maximum length among all memory modules in each configuration because of parallel testing. The advantage of our method will be more obvious while the number of memory modules contained in one memory configuration increases. In Figure 7, we show two results for each memory configuration for the purpose of avoiding the statistical bias. For the writing dominate, we know the CFid and CFin occurring in the same word can be considered diagnosed. V. CONCLUSIONS In this paper, we have developed an efficient and online method to diagnose the defects for memory arrays which are spatially distributed on the chip. To reduce the test data routing area, the serial interfacing technique has been used to test all memory arrays simultaneously. An efficient diagnosis algorithm, called TDiagRSMarch, has been proposed to generate test patterns and to identify the faulty cells. Instead of using MIS&, the test outputs are evaluated using a circular comparators. Based on the circular comparator, we are able to identify the faulty cell without any overriding and thus the multiple memmy arrays can be diagnosed in parallel. Finally, we emphasize that the proposed method is mainly applied to buffers spatially distributed on the chip. REFERENCES [l] M. F. Chang, W. K. Fuchs and J. H. Patel, Diagnosis and Repair of Memory with Coupling Faults, IEEE Trans. on [2] [] Computers, vol. 8, no. 4, pp , April P. Mazumder and J. S. Yih, A Novel Built-In Self-Repair Approach to VLSI Memory Yield Enhancement, IEEE, Proc. of Int 1 Test Conf., pp , A. Tanabe et d., A 0ns 64-Mb DRAM with Built-In Self- Fig. 7. Fault coverage and diagnostic time for each fault type. Test and Self-Repair Function, IEEE J. Solid-State Circuits, vol. 27, pp, , Nov T. Chen and G. Sunada, A Self-Testing and Self-Repairing Structure for Ultra-Large Capacity Memories, IEEE, Proc. of Int l Test Conf., pp , R. Treuer and V. K. Agrarwal, Built-In Self-Diagnosis for Repairable Embedded RAMs, IEEE Design and Test of Computers, pp. 24-, June 199. I. Kim, Y. Zorian, G. Komoriya, H. Pham, F. Higgins, and J. Lewandowski, Built-In Self-Repair for Embedded High Density SRAM,. IEEE, Proc. of Int l Test Conf., pp , B. Koeneman, DFT Workshop, Vail, Colorado, April, M. Nicolaidis, TRansparent BIST for RAMs, IEEE, Proc. of Int l Test Conf., pp , M. Nicolaidis, Theory of Transparent BIST for RAMs, IEEE Trans. on Computers, vol. 45 no. 10, pp , Oct., Karpovsky, M. G. and Yarmolik, V. N. Transparent memory BIST, Memory Technology, Design and Testing, records of IEEE International Workshop, 1994, pp B. F. Cockburn and Y. -F. N. Sat, Synthesized transparent BIST for detecing scrambled pattern-sensitive faults in RAMs IEEE, Proc. of Int l Test Conf., pp. 2-2, V. N. Yarmolik, S. Hellebrand, and H. -J. Wunderlich, Symmetric Transparent BIST for RAMS. Proceeding of IEEE Design, Automation and Test in Europe, pp , Janusz Sosnowski, In System Transparent Autodiagnostics of RAMs.IEEE, Proc. of Int l Test Conf., pp , 199. B. Nadeau Dostie, A. Silburt and V. K. Agarawal, Serial Interfacing Technique for Embedded Memory Testing, IEEE Design and Test of Computers, pp. 52-6, April W. B. Jone, D. C. Huang, S.C. Wu and K. J. Lee An Efficient BIST Metho for Small Buffers, IEEE, Proc. of Int l VTS, pp , A. J. van de Goor, Testing Semiconductor Memories: Theory and Practice, John Wiley and Sons, New York, NY

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C.

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

RAM Testing Algorithms for Detection Multiple Linked Faults

RAM Testing Algorithms for Detection Multiple Linked Faults RAM Testing Algorithms for Detection Multiple Linked Faults V.G. Mikitjuk, V.N. Yarmolik, A.J. van de Goor* Belorussian State Univ. of Informatics and Radioelectronics, P.Brovki 6, Minsk, Belarus *Delft

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST)

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Sharvani Yedulapuram #1, Chakradhar Adupa *2 # Electronics and Communication

More information

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement Chin-LungSu,Yi-TingYeh,andCheng-WenWu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic International Journal of Engineering and Applied Sciences (IJEAS) A Review paper on the Memory Built-In Self-Repair with Redundancy Logic Er. Ashwin Tilak, Prof. Dr.Y.P.Singh Abstract The Present review

More information

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair C. Padmini Assistant Professor(Sr.Grade), ECE Vardhaman college of Engineering, Hyderabad, INDIA

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR).

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). M.leela vinoth krishnan Depatment of Electronics and Communication, CEG-Anna university, Chennai, INDIA. Krishnan7_ece@yahoo.co.in.

More information

IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 54, NO. 5, OCTOBER

IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 54, NO. 5, OCTOBER IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 54, NO. 5, OCTOBER 2005 1721 A Built-In Self-Testing Method for Embedded Multiport Memory Arrays V. Narayanan, Swaroop Ghosh, Wen-Ben Jone, Senior

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Test/Repair Area Overhead Reduction for Small Embedded SRAMs Test/Repair Area Overhead Reduction for Small Embedded SRAMs Baosheng Wang and Qiang Xu ATI Technologies Inc., 1 Commerce Valley Drive East, Markham, ON, Canada L3T 7X6, bawang@ati.com Dept. of Computer

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

Transparent Memory BIST*

Transparent Memory BIST* Transparent Memory BIST* M. G. Karpovsky V. N. Yarmolik Dep. Elec., Comp. & Syst. Eng. College of Eng., Boston University Boston, MA 02215, USA Computer Science Dep. Minsk Radio Eng. Inst., Minsk, 220027,

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 Department of Electronics and Communication Engineering St. Martins Engineering

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Dr. R.K. Sharma and Aditi Sood Abstract As embedded memory area on-chip is increasing

More information

Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan

Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan Chapter 7 Memory Testing Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Importance of Embedded Memories RAM

More information

Sram Cell Static Faults Detection and Repair Using Memory Bist

Sram Cell Static Faults Detection and Repair Using Memory Bist Sram Cell Static Faults Detection and Repair Using Memory Bist Shaik Moulali *, Dr. Fazal Noor Bhasha, B.Srinivas, S.Dayasagar chowdary, P.Srinivas, K. Hari Kishore Abstract Memories are one of the most

More information

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM Complex test pattern generation for high speed fault diagnosis in Embedded SRAM 1 Prasanna Kumari P., 2 Satyanarayana S. V. V., 3 Nagireddy S. 1, 3 Associate professor, 2 Master of Engineering, Teegala

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2 Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC009) ISSN (online): 2349-0020 Efficient BISR

More information

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM G.PRAKASH #1, S.SARAVANAN #2 #1 M.Tech, School of Computing #2 Assistant Professor, SASTRA University, Thanjavur. #1 Prakashganesh.be@gmail.com,

More information

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults Artur Jutman Tallinn University of Technology artur@pld.ttu.ee Abstract This article describes a novel approach to fault diagnosis suitable

More information

A Software-Based Test Methodology for Direct-Mapped Data Cache

A Software-Based Test Methodology for Direct-Mapped Data Cache 17th Asian Test Symposium A Software-Based Test Methodology for Direct-Mapped Data Cache Yi-Cheng Lin, Yi-Ying Tsai, Kuen-Jong Lee, Cheng-Wei Yen, and Chung-Ho Chen Department of Electrical Engineering,

More information

A Survey on Dram Testing and Its Algorithms

A Survey on Dram Testing and Its Algorithms RESEARCH ARTICLE OPEN ACCESS A Survey on Dram Testing and Its Algorithms K.Manju Priya 1, M. Menaka 2 Research Scholar 1, Assistant professor 2 ECE Department (ME VLSI DESIGN) SVS College of Engineering,

More information

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy *GUDURU MALLIKARJUNA **Dr. P. V.N.REDDY * (ECE, GPCET, Kurnool. E-Mailid:mallikarjuna3806@gmail.com) ** (Professor,

More information

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Amruta P. Auradkar # and Dr. R. B. Shettar * # M.Tech.,2 nd year, Digital Electronics,

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei

More information

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Mr. Rakesh Manukonda M.Tech. in VLSI &ES, MLEC, Singarayakonda, Mr. Suresh Nakkala Asst. Prof. in E.C.E MLEC,

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

THREE algorithms suitable for built-in redundancy analysis

THREE algorithms suitable for built-in redundancy analysis 386 IEEE TRANSACTIONS ON RELIABILITY, VOL. 52, NO. 4, DECEMBER 2003 Built-In Redundancy Analysis for Memory Yield Improvement Chih-Tsun Huang, Member, IEEE, Chi-Feng Wu, Member, IEEE, Jin-Fu Li, Member,

More information

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 5 (2013), pp. 361-370 International Research Publications House http://www. irphouse.com /ijict.htm Built-in

More information

OPTIMIZING MARCH ALGORITHM FOR TESTING EMBEDDED MEMORY: A CASE STUDY

OPTIMIZING MARCH ALGORITHM FOR TESTING EMBEDDED MEMORY: A CASE STUDY http:// OPTIMIZING MARCH ALGORITHM FOR TESTING EMBEDDED MEMORY: A CASE STUDY 1 Nitin Sharma, 2 Dr. Pradeep Kumar, 3 Mr. Sunil Kumar ASET, AMITY UNIVERSITY, Noida (India) ABSTRACT The increase in integrity

More information

Applying March Tests to K-Way Set-Associative Cache Memories

Applying March Tests to K-Way Set-Associative Cache Memories 13th European Test Symposium Applying March Tests to K-Way Set-Associative Cache Memories Simone Alpe, Stefano Di Carlo, Paolo Prinetto, Alessandro Savino Politecnico di Torino, Dep. of Control and Computer

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Aiman El-Maleh, Saqib Khurshid King Fahd University of Petroleum and Minerals Dhahran, Saudi Arabia

More information

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e.

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e. CHAPTER 6 FINITE STATE MACHINE BASED BUILT IN SELF TEST AND DIAGNOSIS 5.1 Introduction BIST is the technique of designing additional hardware and software features into integrated circuits to allow them

More information

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy Philipp Öhler and Sybille Hellebrand University of Paderborn Germany {oehler,hellebrand}@uni-paderborn.de Hans-Joachim Wunderlich

More information

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory A Parametric Design of a Built-in Self-Test FIFO Embedded Memory S. Barbagallo, M. Lobetti Bodoni, D. Medina G. De Blasio, M. Ferloni, F.Fummi, D. Sciuto DSRC Dipartimento di Elettronica e Informazione

More information

Fault Tolerant Prevention in FIFO Buffer of NOC Router

Fault Tolerant Prevention in FIFO Buffer of NOC Router Fault Tolerant Prevention in FIFO Buffer of NOC Router Varalakshmi Dandu 1, P. Annapurna Bai 2 Dept. of ECE, St.Mark Educational Society, Affiliated to JNTUA, AP, India 1 Assistant Professor, Dept. of

More information

Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers

Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers Israel Koren Department of Electrical and Computer Engineering Univ. of Massachusetts, Amherst, MA collaborating with Luca Breveglieri,

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller Quest Journals Journal of Electronics and Communication Engineering Research ISSN:2321-5941 Volume1 ~ Issue 2 (2013) pp: 01-06 www.questjournals.org Research Paper A VLSI Implementation of High Speed FSM-based

More information

BUILT IN REDUNDANCY ALGORITHMS FOR MEMORY YIELD ENHANCEMENT

BUILT IN REDUNDANCY ALGORITHMS FOR MEMORY YIELD ENHANCEMENT International Journal of Electronics and Communication Engineering and Technology (IJECET) Volume 9, Issue 3, May-June 2018, pp. 13 22, Article ID: IJECET_09_03_002 Available online at http://www.iaeme.com/ijecet/issues.asp?jtype=ijecet&vtype=9&itype=3

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST SAKTHIVEL Assistant Professor, Department of ECE, Coimbatore Institute of Engineering and Technology Abstract- FPGA is

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test

Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test JOURNAL OF ELECTRONIC TESTING: Theory and Applications 18, 515 527, 2002 c 2002 Kluwer Academic Publishers. Manufactured in The Netherlands. Diagnostic Data Compression Techniques for Embedded Memories

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

BIST-Based Test and Diagnosis of FPGA Logic Blocks

BIST-Based Test and Diagnosis of FPGA Logic Blocks IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 1, FEBRUARY 2001 159 BIST-Based Test and Diagnosis of FPGA Logic Blocks Miron Abramovici, Fellow, IEEE, and Charles E. Stroud,

More information

International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October ISSN

International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October ISSN International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October-2013 31 FPGA based complex test pattern generation for high speed fault diagnosis in memory blocks S. Charitha

More information

VLSI Architecture to Detect/Correct Errors in Motion Estimation Using Biresidue Codes

VLSI Architecture to Detect/Correct Errors in Motion Estimation Using Biresidue Codes VLSI Architecture to Detect/Correct Errors in Motion Estimation Using Biresidue Codes Harsha Priya. M 1, Jyothi Kamatam 2, Y. Aruna Suhasini Devi 3 1,2 Assistant Professor, 3 Associate Professor, Department

More information

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection *

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * Luigi Dilillo Patrick Girard Serge Pravossoudovitch Arnaud Virazel Laboratoire d Informatique, de Robotique et de Microélectronique

More information

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering, Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy Dept. Of Electrical and Computer Engineering, Auburn University, Auburn AL-36849 USA Outline Introduction

More information

Jin-Fu Li Dept. of Electrical Engineering National Central University

Jin-Fu Li Dept. of Electrical Engineering National Central University Memory Built-In Self-Repair Dept. of Electrical Engineering National Central University Jungli, Taiwan Introduction Outline Redundancy Organizations Built-In Redundancy Analysis Built-In Self-Repair Infrastructure

More information

BIST for Deep Submicron ASIC Memories with High Performance Application

BIST for Deep Submicron ASIC Memories with High Performance Application BIST for Deep Submicron ASIC Memories with High Performance Application Theo J. Powell, Wu-Tung Cheng *, Joseph Rayhawk *, Omer Samman *, Paul Policke, Sherry Lai Texas Instruments Inc. PO Box 660199,

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Efficient Test of Dynamic Read Destructive Faults in SRAM Memories*

Efficient Test of Dynamic Read Destructive Faults in SRAM Memories* Efficient Test of Dynamic Read Destructive Faults in RAM Memories* Luigi Dilillo Patrick Girard erge Pravossoudovitch Arnaud Virazel Laboratoire d Informatique, de Robotique et de Microélectronique de

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories

Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories , July 3 5, 2013, London, U.K. Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories Štefan Krištofík, Member, IAENG Abstract One important feature of redundancy analysis (RA)

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

Online and Offline BIST in IP-Core Design

Online and Offline BIST in IP-Core Design International Test Conference Online and Offline BIST in IP-Core Design Alfredo Benso, Silvia Chiusano, Giorgio Di Natale, and Paolo Prinetto Politecnico di Torino Monica Lobetti Bodoni Siemens Information

More information

Design and Implementation of Built-in-Self Test and Repair

Design and Implementation of Built-in-Self Test and Repair P.Ravinder, N.Uma Rani / International Journal of Engineering Research and Applications (IJERA) Design and Implementation of Built-in-Self Test and Repair P.Ravinder*, N.Uma Rani** * (Guru Nanak Institute

More information

A novel test access mechanism for parallel testing of multi-core system

A novel test access mechanism for parallel testing of multi-core system LETTER IEICE Electronics Express, Vol.11, No.6, 1 6 A novel test access mechanism for parallel testing of multi-core system Taewoo Han, Inhyuk Choi, and Sungho Kang a) Dept of Electrical and Electronic

More information

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical &

More information

Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture

Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture , July 4-6, 2012, London, U.K. Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture Štefan Krištofík, Elena Gramatová, Member, IAENG Abstract Capacity and density of embedded

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2 www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.13 June-2014, Pages:2858-2863 Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

Optimized Built-In Self-Repair for Multiple Memories

Optimized Built-In Self-Repair for Multiple Memories Optimized Built-In Self-Repair for Multiple Memories Abstract: A new built-in self-repair (BISR) scheme is proposed for multiple embedded memories to find optimum point of the performance of BISR for multiple

More information

MULTIPLE FAULT DIAGNOSIS FOR HIGH SPEED HYBRID MEMORY ARCHITECTURE

MULTIPLE FAULT DIAGNOSIS FOR HIGH SPEED HYBRID MEMORY ARCHITECTURE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 2, Issue. 5, May 2013, pg.33

More information

Modeling Techniques and Tests for Partial Faults in Memory Devices

Modeling Techniques and Tests for Partial Faults in Memory Devices Modeling Techniques and Tests for Partial Faults in Memory Devices Zaid Al-Ars Ad J. van de Goor Section Computer Engineering, Faculty of Information Technology and Systems Delft University of Technology,

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC)

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) Mr. D. Sri Harsha 1, Mr. D. Surendra Rao 2 1 Assistant Professor, Dept. of ECE, GNITC, Hyderabad

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap A Built-In Redundancy-Analysis Scheme for RAMs with D Redundancy Using D Local Bitmap Tsu-Wei Tseng, Jin-Fu Li, and Da-Ming Chang Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering

More information

Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM

Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM Markus Rudack Dirk Niggemeyer Laboratory for Information Technology Division Design & Test University of Hannover

More information

Carry-Free Radix-2 Subtractive Division Algorithm and Implementation of the Divider

Carry-Free Radix-2 Subtractive Division Algorithm and Implementation of the Divider Tamkang Journal of Science and Engineering, Vol. 3, No., pp. 29-255 (2000) 29 Carry-Free Radix-2 Subtractive Division Algorithm and Implementation of the Divider Jen-Shiun Chiang, Hung-Da Chung and Min-Show

More information

Verification on Port Connections

Verification on Port Connections Verification on Port Connections Geeng-Wei Lee*, Chun-Yao Wang, Juinn-Dar Huang*, and Jing-Yang Jou* * Department of Electronics Engineering National Chiao Tung University, Hsinchu, Taiwan, R.O.C. {gwlee,

More information

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON

Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Accessing On-chip Instruments Through the Life-time of Systems ERIK LARSSON Motivation We know: Electronics is used everywhere Transistors increase in number and decrease in size It leads to: Many possible

More information