ECE 545 Lecture 6. Behavioral Modeling of Sequential-Circuit Building Blocks. Behavioral Design Style: Registers & Counters.

Size: px
Start display at page:

Download "ECE 545 Lecture 6. Behavioral Modeling of Sequential-Circuit Building Blocks. Behavioral Design Style: Registers & Counters."

Transcription

1 ECE 55 Lecture 6 Behavioral Modeling of Sequential-Circuit Building Blocks Required reading P. Chu, RTL Hardware esign using VHL Chapter 5.1, VHL Process Chapter 8, Sequential Circuit esign: Principle George Mason University 2 VHL esign Styles VHL esign Styles Behavioral esign Style: Registers & Counters ECE 8 FPGA and ASIC esign with VHL 3 dataflow Concurrent statements synthesizable structural behavioral Components and Sequential statements interconnects Registers Shift registers Counters State machines and more if you are careful Processes in VHL Processes escribe Sequential Behavior Processes in VHL Are Very Powerful Statements Allow to define an arbitrary behavior that may be difficult to represent by a real circuit ot every process can be synthesized Use Processes with Caution in the Code to Be Synthesized Use Processes Freely in Testbenches Anatomy of a Process OPTIOAL [label:] PROCESS [(sensitivity list)] [declaration part] statement part E PROCESS [label]; 5 6 1

2 PROCESS with a SESITIVITY LIST Component Equivalent of a Process List of signals to which the process is sensitive. Whenever there is an event on any of the signals in the sensitivity list, the process fires. Every time the process fires, it will run in its entirety. WAIT statements are OT ALLOWE in a processes with SESITIVITY LIST. label: process (sensitivity list) declaration part begin statement part end process; priority: PROCESS (clk) IF w(3) = '1' THE y <= "11" ; ELSIF w(2) = '1' THE y <= "10" ; ELSIF w(1) = c THE y <= a and b; ELSE z <= "00" ; clk w a b c priority All signals which appear on the left of signal assignment statement (<=) are outputs e.g. y, z All signals which appear on the right of signal assignment statement (<=) or in logic expressions are inputs e.g. w, a, b, c All signals which appear in the sensitivity list are inputs e.g. clk ote that not all inputs need to be included in the sensitivity list y z 7 8 latch Registers Graphical symbol Truth table Timing diagram (t+1) (t) 0 1 t 1 t 2 t 3 t Time ECE 8 FPGA and ASIC esign with VHL 9 10 flip-flop latch Graphical symbol Truth table Clk (t+1) (t) 1 (t) Timing diagram t 1 t 2 t 3 t Time ETITY latch IS PORT (, : I ST_LOGIC ; : OUT ST_LOGIC) ; E latch ; ARCHITECTURE behavioral OF latch IS PROCESS (, ) IF = '1' THE <= ; E behavioral;

3 flip-flop flip-flop ETITY flipflop IS PORT (, : I ST_LOGIC ; : OUT ST_LOGIC) ; E flipflop ; ETITY flipflop IS PORT (, : I ST_LOGIC ; : OUT ST_LOGIC) ; E flipflop ; ARCHITECTURE behavioral OF flipflop IS PROCESS ( ) IF 'EVET A = '1' THE <= ; E behavioral ; ARCHITECTURE behavioral2 OF flipflop IS PROCESS ( ) IF rising_edge() THE <= ; E behavioral2; 13 1 flip-flop flip-flop with asynchronous reset ETITY flipflop IS PORT (, : I ST_LOGIC ; : OUT ST_LOGIC) ; E flipflop ; ETITY flipflop_ar IS PORT (, Resetn, : I ST_LOGIC ; : OUT ST_LOGIC) ; E flipflop_ar ; Resetn ARCHITECTURE behavioral3 OF flipflop IS PROCESS WAIT UTIL rising_edge() ; <= ; E behavioral3 ; ARCHITECTURE behavioral OF flipflop_ar IS PROCESS ( Resetn, ) IF Resetn = '0' THE <= '0' ; ELSIF rising_edge() THE <= ; E behavioral ; flip-flop with synchronous reset ETITY flipflop_sr IS PORT (, Resetn, : I ST_LOGIC ; : OUT ST_LOGIC) ; E flipflop_sr ; ARCHITECTURE behavioral OF flipflop_sr IS PROCESS() IF rising_edge() THE IF Resetn = '0' THE <= '0' ; ELSE <= ; E IF; E behavioral ; Resetn Asychronous vs. Synchronous In the IF loop, asynchronous items are Before the rising_edge() statement In the IF loop, synchronous items are After the rising_edge() statement

4 8-bit register with asynchronous reset -bit register with asynchronous reset ETITY reg8 IS PORT ( : I ST_LOGIC_VECTOR(7 OWTO 0) ; Resetn, : I ST_LOGIC ; : OUT ST_LOGIC_VECTOR(7 OWTO 0) ) ; E reg8 ; ARCHITECTURE behavioral OF reg8 IS PROCESS ( Resetn, ) IF Resetn = '0' THE <= " " ; ELSIF rising_edge() THE <= ; E behavioral ;` 8 Resetn 8 reg8 19 ETITY regn IS GEERIC ( : ITEGER := 16 ) ; PORT ( : I ST_LOGIC_VECTOR(-1 OWTO 0) ; Resetn, : I ST_LOGIC ; : OUT ST_LOGIC_VECTOR(-1 OWTO 0) ) ; E regn ; ARCHITECTURE behavioral OF regn IS PROCESS ( Resetn, ) IF Resetn = '0' THE <= (OTHERS => '0') ; ELSIF rising_edge() THE <= ; E behavioral ; Resetn regn 20 A word on generics Generics are typically integer values In this class, the entity inputs and outputs should be std_logic or std_logic_vector But the generics can be integer Generics are given a default value GEERIC ( : ITEGER := 16 ) ; This value can be overwritten when entity is instantiated as a component Generics are very useful when instantiating an often-used component eed a 32-bit register in one place, and 16-bit register in another Can use the same generic code, just configure them differently Use of OTHERS OTHERS stand for any index value that has not been previously mentioned. <= can be written as <= (0 => 1, OTHERS => 0 ) <= can be written as <= (7 => 1, 0 => 1, OTHERS => 0 ) or <= (7 0 => 1, OTHERS => 0 ) <= can be written as <= ( downto 1=> 1, OTHERS => 0 ) bit register with enable ETITY regne IS GEERIC ( : ITEGER := 8 ) ; PORT ( : I ST_LOGIC_VECTOR(-1 OWTO 0) ;, : I ST_LOGIC ; : OUT ST_LOGIC_VECTOR(-1 OWTO 0) ) ; E regne ; ARCHITECTURE behavioral OF regne IS PROCESS () IF rising_edge() THE IF = '1' THE <= ; E IF; E behavioral ; regn Counters 23 ECE 8 FPGA and ASIC esign with VHL 2

5 2-bit up-counter with synchronous reset USE ieee.std_logic_unsigned.all ; ETITY upcount IS PORT ( Clear, : I ST_LOGIC ; : OUT ST_LOGIC_VECTOR(1 OWTO 0) ) ; E upcount ; ARCHITECTURE behavioral OF upcount IS SIGAL Count : std_logic_vector(1 OWTO 0); upcount: PROCESS ( ) IF rising_edge() THE IF Clear = '1' THE Count <= "00" ; ELSE Count <= Count + 1 ; E IF; E PROCESS; <= Count; E behavioral; Clear upcount 2 -bit up-counter with asynchronous reset (1) USE ieee.std_logic_unsigned.all ; ETITY upcount_ar IS PORT (, Resetn, : I ST_LOGIC ; : OUT ST_LOGIC_VECTOR (3 OWTO 0)) ; E upcount_ar ; Resetn upcount bit up-counter with asynchronous reset (2) ARCHITECTURE behavioral OF upcount _ar IS SIGAL Count : ST_LOGIC_VECTOR (3 OWTO 0) ; PROCESS (, Resetn ) IF Resetn = '0' THE Count <= "0000" ; ELSIF rising_edge() THE IF = '1' THE Count <= Count + 1 ; <= Count ; E behavioral ; Resetn upcount Shift Registers 27 ECE 8 FPGA and ASIC esign with VHL 28 Shift register Shift Register With Parallel (3) (2) (1) (0) (3) (2) (1) (0) (3) (2) (1) (0)

6 -bit shift register with parallel load (1) -bit shift register with parallel load (2) ETITY shift IS PORT ( : I ST_LOGIC_VECTOR(3 OWTO 0) ; : I ST_LOGIC ; : I ST_LOGIC ; : I ST_LOGIC ; : I ST_LOGIC ; : OUT ST_LOGIC_VECTOR(3 OWTO 0) ) ; E shift ; shift ARCHITECTURE behavioral OF shift IS SIGAL t : ST_LOGIC_VECTOR(3 OWTO 0); PROCESS () IF rising_edge() THE IF = '1' THE t <= ; ELSIF = 1 THE t(0) <= t(1) ; t(1) <= t(2); t(2) <= t(3) ; t(3) <= ; <= t; E behavioral ; shift bit shift register with parallel load (2) -bit shift register with parallel load (1) ARCHITECTURE behavioral OF shift IS SIGAL t : ST_LOGIC_VECTOR(3 OWTO 0); PROCESS () IF rising_edge() THE IF = '1' THE t <= ; ELSIF = 1 THE t <= & t(3 downto 1); <= t; E behavioral ; shift ETITY shiftn IS GEERIC ( : ITEGER := 8 ) ; PORT ( : I ST_LOGIC_VECTOR(-1 OWTO 0) ; : I ST_LOGIC ; : I ST_LOGIC ; : I ST_LOGIC ; : I ST_LOGIC ; : OUT ST_LOGIC_VECTOR(-1 OWTO 0) ) ; E shiftn ; shiftn bit shift register with parallel load (2) -bit shift register with parallel load (2) ARCHITECTURE behavioral OF shiftn IS SIGAL t: ST_LOGIC_VECTOR(-1 OWTO 0); PROCESS () IF rising_edge() THE IF = '1' THE t <= ; ELSIF = 1 THE Genbits: FOR i I 0 TO -2 LOOP t(i) <= t(i+1) ; E LOOP ; t(-1) <= ; E IF; <= t; E behavior al; shiftn ARCHITECTURE behavioral OF shiftn IS SIGAL t: ST_LOGIC_VECTOR(-1 OWTO 0); PROCESS () IF rising_edge() THE IF = '1' THE t <= ; ELSIF = 1 THE t <= & t(-1 downto 1); E IF; <= t; E behavior al; shiftn 35 ECE 8 FPGA and ASIC esign with VHL 36 6

7 -bit register with enable Generic Component Instantiation ETITY regn IS GEERIC ( : ITEGER := 8 ) ; PORT ( : I ST_LOGIC_VECTOR(-1 OWTO 0) ;, : I ST_LOGIC ; : OUT ST_LOGIC_VECTOR(-1 OWTO 0) ) ; E regn ; ARCHITECTURE Behavior OF regn IS PROCESS () IF ('EVET A = '1' ) THE IF = '1' THE <= ; E IF; E Behavior ; regn ECE 8 FPGA and ASIC esign with VHL Circuit built of medium scale components s(0) Structural description example (1) r(0) r(1) r(2) r(3) r() r(5) s(1) p(0) p(1) p(2) p(3) w 0 w 1 w 2 w 3 priority y 1 y 0 z q(1) q(0) ena En w y 1 3 z(3) t(3) w y 0 2 z(2) t(2) y 1 z(1) t(1) En y 0 z(0) t(0) dec2to regne Clk ETITY priority_resolver IS PORT (r : I ST_LOGIC_VECTOR(5 OWTO 0) ; s : I ST_LOGIC_VECTOR(1 OWTO 0) ; clk : I ST_LOGIC; en : I ST_LOGIC; t : OUT ST_LOGIC_VECTOR(3 OWTO 0) ) ; E priority_resolver; ARCHITECTURE structural OF priority_resolver IS SIGAL p : ST_LOGIC_VECTOR (3 OWTO 0) ; SIGAL q : ST_LOGIC_VECTOR (1 OWTO 0) ; SIGAL z : ST_LOGIC_VECTOR (3 OWTO 0) ; SIGAL ena : ST_LOGIC ; 39 0 Structural description example (2) VHL-87 COMPOET mux2to1 PORT (w0, w1, s : I ST_LOGIC ; f : OUT ST_LOGIC ) ; E COMPOET ; COMPOET priority PORT (w : I ST_LOGIC_VECTOR(3 OWTO 0) ; y : OUT ST_LOGIC_VECTOR(1 OWTO 0) ; z : OUT ST_LOGIC ) ; E COMPOET ; Structural description example (3) VHL-87 COMPOET regn GEERIC ( : ITEGER := 8 ) ; PORT ( : I ST_LOGIC_VECTOR(-1 OWTO 0) ;, : I ST_LOGIC ; : OUT ST_LOGIC_VECTOR(-1 OWTO 0) ) ; E COMPOET ; COMPOET dec2to PORT (w : I ST_LOGIC_VECTOR(1 OWTO 0) ; En : I ST_LOGIC ; y : OUT ST_LOGIC_VECTOR(3 OWTO 0) ) ; E COMPOET ; 1 2 7

8 Structural description example () VHL-87 u1: mux2to1 PORT MAP (w0 => r(0), w1 => r(1), s => s(0), f => p(0)); p(1) <= r(2); p(2) <= r(3); u2: mux2to1 PORT MAP (w0 => r(), w1 => r(5), s => s(1), f => p(3)); Structural description example (5) VHL-87 u5: regn GEERIC MAP ( => ) E structural; PORT MAP ( => z, => En, => Clk, => t ); u3: priority PORT MAP (w => p, y => q, z => ena); u: dec2to PORT MAP (w => q, En => ena, y => z); 3 Structural description example (2) VHL-93 u1: work.mux2to1(dataflow) PORT MAP (w0 => r(0), w1 => r(1), s => s(0), f => p(0)); p(1) <= r(2); p(2) <= r(3); u2: work.mux2to1(dataflow) PORT MAP (w0 => r(), w1 => r(5), s => s(1), f => p(3)); u3: work.priority(dataflow) PORT MAP (w => p, y => q, z => ena); Structural description example (5) VHL-87 u: work.dec2to (dataflow) PORT MAP (w => q, En => ena, y => z); u5: work.regne(behavioral) E structural; GEERIC MAP ( => ) PORT MAP ( => z, => En, => Clk, => t ); 5 6 Instruction ROM example (1) LIBRARY ieee; USE ieee.std_logic_116.all; USE ieee.numeric_std.all; ETITY instruction_rom IS ROM GEERIC ( w : ITEGER := 16; n : ITEGER := 8; m : ITEGER := 3); PORT ( Instr_addr : I ST_LOGIC_VECTOR(m-1 OWTO 0); Instr : out ST_LOGIC_VECTOR(w-1 OWTO 0) ); E instruction_rom; ECE 8 FPGA and ASIC esign with VHL 7 8 8

9 Instruction ROM example (2) ARCHITECTURE ins_rom OF instruction_rom IS SIGAL temp: ITEGER RAGE 0 TO n-1; TYPE vector_array IS ARRAY (0 to n-1) OF ST_LOGIC_VECTOR(w-1 OWTO 0); COSTAT memory : vector_array := ( X"0000", X"59", X"A870", X"7853", X"650", X"62F", X"F72", X"F58"); Mixing esign Styles Inside of an Architecture temp <= to_integer(unsigned(instr_addr)); Instr <= memory(temp); E instruction_rom; 9 ECE 8 FPGA and ASIC esign with VHL 50 VHL esign Styles dataflow Concurrent statements synthesizable VHL esign Styles structural behavioral Components and Sequential statements interconnects Registers Shift registers Counters State machines Mixed Style Modeling architecture ARCHITECTURE_AME of ETITY_AME is Here you can declare signals, constants, functions, procedures Component declarations begin Concurrent statements: Concurrent simple signal assignment Conditional signal assignment Selected signal assignment Generate statement Component instantiation statement Process statement inside process you can use only sequential statements end ARCHITECTURE_AME; Concurrent Statements For Beginners Sequential Logic Synthesis for Beginners Use processes with very simple structure only to describe - registers - shift registers - counters - state machines. Use examples discussed in class as a template. Create generic entities for registers, shift registers, and counters, and instantiate the corresponding components in a higher level circuit using GEERIC MAP PORT MAP. Supplement sequential components with combinational logic described using concurrent statements. ECE 8 FPGA and ASIC esign with VHL

10 For Intermmediates Sequential Logic Synthesis for Intermediates 1. Use Processes with IF and CASE statements only. o not use LOOPS or VARIABLES. 2. Sensitivity list of the PROCESS should include only signals that can by themsleves change the outputs of the sequential circuit (typically, clock and asynchronous set or reset) 3. o not use PROCESSes without sensitivity list (they can be synthesizable, but make simulation inefficient) ECE 8 FPGA and ASIC esign with VHL For Intermmediates (2) Given a single signal, the assignments to this signal should only be made within a single process block in order to avoid possible conflicts in assigning values to this signal. Process 1: PROCESS (a, b) y <= a A b; E PROCESS; on-synthesizable VHL Process 2: PROCESS (a, b) y <= a OR b; E PROCESS; 57 George Mason University elays elays are not synthesizable Statements, such as wait for 5 ns a <= b after 10 ns will not produce the required delay, and should not be used in the code intended for synthesis. Initializations eclarations of signals (and variables) with initialized values, such as SIGAL a : ST_LOGIC := 0 ; cannot be synthesized, and thus should be avoided. If present, they will be ignored by the synthesis tools. Use set and reset signals instead

11 ual-edge triggered register/counter (1) In FPGAs register/counter can change only at either rising (default) or falling edge of the clock. ual-edge triggered clock is not synthesizable correctly, using either of the descriptions provided below. ual-edge triggered register/counter (2) PROCESS (clk) IF (clk EVET A clk= 1 ) THE counter <= counter + 1; ELSIF (clk EVET A clk= 0 ) THE counter <= counter + 1; E IF; E PROCESS; ual-edge triggered register/counter (3) PROCESS (clk) IF (clk EVET) THE counter <= counter + 1; E IF; E PROCESS; PROCESS (clk) counter <= counter + 1; E PROCESS; 63 11

ECE 545 Lecture 6. Behavioral Modeling of Sequential-Circuit Building Blocks. George Mason University

ECE 545 Lecture 6. Behavioral Modeling of Sequential-Circuit Building Blocks. George Mason University ECE 545 Lecture 6 Behavioral Modeling of Sequential-Circuit Building Blocks George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapter 5.1, VHDL Process Chapter 8, Sequential

More information

ECE 448 Lecture 4. Sequential-Circuit Building Blocks. Mixing Description Styles

ECE 448 Lecture 4. Sequential-Circuit Building Blocks. Mixing Description Styles ECE 448 Lecture 4 Sequential-Circuit Building Blocks Mixing Description Styles George Mason University Reading Required P. Chu, FPGA Prototyping by VHDL Examples Chapter 4, Regular Sequential Circuit Recommended

More information

ECE 545 Lecture 7. VHDL Description of Basic Combinational & Sequential Circuit Building Blocks. Required reading. Fixed Shifters & Rotators

ECE 545 Lecture 7. VHDL Description of Basic Combinational & Sequential Circuit Building Blocks. Required reading. Fixed Shifters & Rotators EE 55 Lecture 7 VHL escription o Basic ombinational & Sequential ircuit Building Blocks Required reading P. hu, RTL Hardare esign using VHL hapter 7, ombinational ircuit esign: Practice hapter 5., VHL

More information

ECE 545 Lecture 8. Data Flow Description of Combinational-Circuit Building Blocks. George Mason University

ECE 545 Lecture 8. Data Flow Description of Combinational-Circuit Building Blocks. George Mason University ECE 545 Lecture 8 Data Flow Description of Combinational-Circuit Building Blocks George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapter 7, Combinational Circuit Design:

More information

[VARIABLE declaration] BEGIN. sequential statements

[VARIABLE declaration] BEGIN. sequential statements PROCESS statement (contains sequential statements) Simple signal assignment statement

More information

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic George Mason University Reading Required P. Chu, FPGA Prototyping by VHDL Examples Chapter 3, RT-level

More information

CS/EE Homework 7 Solutions

CS/EE Homework 7 Solutions CS/EE 260 - Homework 7 Solutions 4/2/2001 1. (20 points) A 4 bit twisted ring counter is a sequential circuit which produces the following sequence of output values: 0000, 1000, 1100, 1110, 1111, 0111,

More information

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic George Mason University Reading Required P. Chu, FPGA Prototyping by VHDL Examples Chapter 3, RT-level

More information

Lecture 12 VHDL Synthesis

Lecture 12 VHDL Synthesis CPE 487: Digital System Design Spring 2018 Lecture 12 VHDL Synthesis Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 What is Synthesis?

More information

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 6 Combinational and sequential circuits

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 6 Combinational and sequential circuits EE 459/5 HL Based igital esign with Programmable Logic Lecture 6 ombinational and sequential circuits Read before class: hapter 2 from textbook Overview ombinational circuits Multiplexer, decoders, encoders,

More information

ELE432. ADVANCED DIGITAL DESIGN HACETTEPE UNIVERSITY Designing with VHDL

ELE432. ADVANCED DIGITAL DESIGN HACETTEPE UNIVERSITY Designing with VHDL ELE432 ADVANCED DIGITAL DESIGN HACETTEPE UNIVERSITY Designing with VHDL Organization of the Week Quartus II and simple I/O Combinational Sequential References Required P. Chu, FPGA Prototyping by VHDL

More information

Sequential Statement

Sequential Statement Sequential Statement Sequential Logic Output depends not only on current input values but also on previous input values. Are building blocks of; Counters Shift registers Memories Flip flops are basic sequential

More information

Summary of FPGA & VHDL

Summary of FPGA & VHDL FYS4220/9220 Summary of FPGA & VHDL Lecture #6 Jan Kenneth Bekkeng, University of Oslo - Department of Physics 16.11.2011 Curriculum (VHDL & FPGA part) Curriculum (Syllabus) defined by: Lectures Lecture6:

More information

ECE 545 Lecture 4. Simple Testbenches. George Mason University

ECE 545 Lecture 4. Simple Testbenches. George Mason University ECE 545 Lecture 4 Simple Testbenches George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapter 2.2.4, Testbenches 2 Testbenches ECE 448 FPGA and ASIC Design with VHDL 3 Testbench

More information

ECE 545 Lecture 7. Modeling of Circuits with a Regular Structure. Aliases, Attributes, Packages. Mixing Design Styles. George Mason University

ECE 545 Lecture 7. Modeling of Circuits with a Regular Structure. Aliases, Attributes, Packages. Mixing Design Styles. George Mason University ECE 545 Lecture 7 Modeling of Circuits with a Regular Structure Aliases, Attributes, Packages Mixing Design Styles George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapters

More information

The University of Alabama in Huntsville ECE Department CPE Midterm Exam February 26, 2003

The University of Alabama in Huntsville ECE Department CPE Midterm Exam February 26, 2003 The University of Alabama in Huntsville ECE Department CPE 526 01 Midterm Exam February 26, 2003 1. (20 points) Describe the following logic expression (A B D) + (A B C) + (B C ) with a structural VHDL

More information

ECE 545 Lecture 9. Modeling of Circuits with a Regular Structure. Aliases, Attributes, Packages. George Mason University

ECE 545 Lecture 9. Modeling of Circuits with a Regular Structure. Aliases, Attributes, Packages. George Mason University ECE 545 Lecture 9 Modeling of Circuits with a Regular Structure Aliases, Attributes, Packages George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapters 14.5 For Generate

More information

Sequential Logic - Module 5

Sequential Logic - Module 5 Sequential Logic Module 5 Jim Duckworth, WPI 1 Latches and Flip-Flops Implemented by using signals in IF statements that are not completely specified Necessary latches or registers are inferred by the

More information

DESCRIPTION OF DIGITAL CIRCUITS USING VHDL

DESCRIPTION OF DIGITAL CIRCUITS USING VHDL DESCRIPTION OF DIGITAL CIRCUITS USING VHDL Combinatinal circuits Sequential circuits Design organization. Generic design Iterative operations Authors: Luis Entrena Arrontes, Celia López, Mario García,

More information

ECEU530. Homework 4 due Wednesday Oct 25. ECE U530 Digital Hardware Synthesis. VHDL for Synthesis with Xilinx. Schedule

ECEU530. Homework 4 due Wednesday Oct 25. ECE U530 Digital Hardware Synthesis. VHDL for Synthesis with Xilinx. Schedule EEU530 EE U530 igital Hardware Synthesis Lecture 11: Prof. Miriam Leeser mel@coe.neu.edu October 18, 2005 Sequential Logic in VHL Finite State Machines in VHL Project proposals due now HW 4 due Wednesday,

More information

Introduction to VHDL #3

Introduction to VHDL #3 ECE 322 Digital Design with VHDL Introduction to VHDL #3 Lecture 7 & 8 VHDL Modeling Styles VHDL Modeling Styles Dataflow Concurrent statements Structural Components and interconnects Behavioral (sequential)

More information

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits M1 Informatique / MOSIG Introduction to Modeling and erification of Digital Systems Part 4: HDL for sequential circuits Laurence PIERRE http://users-tima.imag.fr/amfors/lpierre/m1arc 2017/2018 81 Sequential

More information

CprE 583 Reconfigurable Computing

CprE 583 Reconfigurable Computing Recap 4:1 Multiplexer CprE / ComS 583 Reconfigurable Computing Prof. Joseph Zambreno Department of Electrical and Computer Engineering Iowa State University Lecture #18 VHDL for Synthesis I LIBRARY ieee

More information

ECE 545 Lecture 5. Data Flow Modeling in VHDL. George Mason University

ECE 545 Lecture 5. Data Flow Modeling in VHDL. George Mason University ECE 545 Lecture 5 Data Flow Modeling in VHDL George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapter 4, Concurrent Signal Assignment Statements of VHDL 2 Types of VHDL Description

More information

Timing in synchronous systems

Timing in synchronous systems BO 1 esign of sequential logic Outline Timing in synchronous networks Synchronous processes in VHL VHL-code that introduces latches andf flip-flops Initialization of registers Mealy- and Moore machines

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

Midterm Exam Thursday, October 24, :00--2:15PM (75 minutes)

Midterm Exam Thursday, October 24, :00--2:15PM (75 minutes) Last (family) name: Answer Key First (given) name: Student I.D. #: Department of Electrical and Computer Engineering University of Wisconsin - Madison ECE 551 Digital System Design and Synthesis Midterm

More information

Control and Datapath 8

Control and Datapath 8 Control and Datapath 8 Engineering attempts to develop design methods that break a problem up into separate steps to simplify the design and increase the likelihood of a correct solution. Digital system

More information

VHDL: RTL Synthesis Basics. 1 of 59

VHDL: RTL Synthesis Basics. 1 of 59 VHDL: RTL Synthesis Basics 1 of 59 Goals To learn the basics of RTL synthesis. To be able to synthesize a digital system, given its VHDL model. To be able to relate VHDL code to its synthesized output.

More information

In our case Dr. Johnson is setting the best practices

In our case Dr. Johnson is setting the best practices VHDL Best Practices Best Practices??? Best practices are often defined by company, toolset or device In our case Dr. Johnson is setting the best practices These rules are for Class/Lab purposes. Industry

More information

Computer-Aided Digital System Design VHDL

Computer-Aided Digital System Design VHDL بس م اهلل الر حم ن الر حی م Iran University of Science and Technology Department of Computer Engineering Computer-Aided Digital System Design VHDL Ramin Rajaei ramin_rajaei@ee.sharif.edu Modeling Styles

More information

VHDL simulation and synthesis

VHDL simulation and synthesis VHDL simulation and synthesis How we treat VHDL in this course You will not become an expert in VHDL after taking this course The goal is that you should learn how VHDL can be used for simulation and synthesis

More information

VHDL And Synthesis Review

VHDL And Synthesis Review VHDL And Synthesis Review VHDL In Detail Things that we will look at: Port and Types Arithmetic Operators Design styles for Synthesis VHDL Ports Four Different Types of Ports in: signal values are read-only

More information

DIGITAL LOGIC DESIGN VHDL Coding for FPGAs

DIGITAL LOGIC DESIGN VHDL Coding for FPGAs IGITAL LOGIC SIGN VHL Coding for FPGAs SUNTIAL CIRCUITS Unit 5 Asynchronous sequential circuits: Latches Synchronous circuits: flip flops, counters, registers. Testbench: Generating stimulus COMBINATIONAL

More information

Counters and Simple Design Example

Counters and Simple Design Example ECE 322 Digital Design with VHDL Counters and Simple Design Example Lecture 2 extbook References n Sequential Logic Review Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design,

More information

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers ECE 545 Lecture 12 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts Required reading P. Chu, using VHDL Chapter 1, Finite State Machine: Principle & Practice Chapter

More information

Lattice VHDL Training

Lattice VHDL Training Lattice Part I February 2000 1 VHDL Basic Modeling Structure February 2000 2 VHDL Design Description VHDL language describes a digital system as a set of modular blocks. Each modular block is described

More information

EEL 4712 Digital Design Test 1 Spring Semester 2007

EEL 4712 Digital Design Test 1 Spring Semester 2007 IMPORTANT: Please be neat and write (or draw) carefully. If we cannot read it with a reasonable effort, it is assumed wrong. COVER SHEET: Problem: Points: 1 (15 pts) 2 (20 pts) Total 3 (15 pts) 4 (18 pts)

More information

The process. Sensitivity lists

The process. Sensitivity lists The process process itself is a concurrent statement but the code inside the process is executed sequentially Process label (optional) Process declarative region Process body entity Test is, : in bit;

More information

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture Assignment Last time Project 4: Using synthesis tools Synplify Pro and Webpack Due 11/11 ning of class Generics Used to parameterize models E.g., Delay, bit width Configurations Configuration specification

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

Inferring Storage Elements

Inferring Storage Elements Inferring Storage Elements In our designs, we usually use flip-flops as our storage elements. Sometimes we use latches, but not often. Latches are smaller in size, but create special, often difficult situations

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents VHDL Testbench Tutorial 1 Contents 1 VHDL Testbench 2 Test Bench Syntax 3 Testbench Example: VHDL Code for Up Down Binary Counter 4 VHDL Testbench code for up down binary counter 5 Testbench Waveform for

More information

Concurrent & Sequential Stmts. (Review)

Concurrent & Sequential Stmts. (Review) VHDL Introduction, Part II Figures in this lecture are from: Rapid Prototyping of Digital Systems, Second Edition James O. Hamblen & Michael D. Furman, Kluwer Academic Publishers, 2001, ISBN 0-7923-7439-

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Review of Combinatorial Circuit Building Blocks: VHDL for Combinational Circuits Dr. D. J. Jackson Lecture 2-1 Introduction to VHDL Designer writes a logic circuit description in

More information

SEQUENTIAL STATEMENTS

SEQUENTIAL STATEMENTS SEQUENTIAL STATEMENTS Sequential Statements Allow to describe the behavior of a circuit as a sequence of related events Can be used to model, simulate and synthesize: Combinational logic circuits Sequential

More information

Lecture 4. VHDL Fundamentals. George Mason University

Lecture 4. VHDL Fundamentals. George Mason University Lecture 4 VHDL Fundamentals George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapter 3, Basic Language Constructs of VHDL 2 Design Entity ECE 448 FPGA and ASIC Design with

More information

Lecture 4: Modeling in VHDL (Continued ) EE 3610 Digital Systems

Lecture 4: Modeling in VHDL (Continued ) EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 4: Modeling in VHDL (Continued ) Sequential Statements Use Process process (sensitivity list) variable/constant declarations Sequential Statements end process; 2 Sequential

More information

Schedule. ECE U530 Digital Hardware Synthesis. Rest of Semester. Midterm Question 1a

Schedule. ECE U530 Digital Hardware Synthesis. Rest of Semester. Midterm Question 1a ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu November 8, 2006 Midterm Average: 70 Lecture 16: Midterm Solutions Homework 6: Calculator Handshaking HW 6: Due Wednesday, November

More information

VHDL: A Crash Course

VHDL: A Crash Course VHDL: A Crash Course Dr. Manuel Jiménez With contributions by: Irvin Ortiz Flores Electrical and Computer Engineering Department University of Puerto Rico - Mayaguez Outline Background Program Structure

More information

EL 310 Hardware Description Languages Midterm

EL 310 Hardware Description Languages Midterm EL 3 Hardware Description Languages Midterm 2 3 4 5 Total Name: ID : Notes: ) Please answer the questions in the provided space after each question. 2) Duration is minutes 3) Closed books and closed notes.

More information

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks.

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks. Outline CPE/EE 422/522 Advanced Logic Design L05 Electrical and Computer Engineering University of Alabama in Huntsville What we know Combinational Networks Sequential Networks: Basic Building Blocks,

More information

ECE 545 Lecture 12. FPGA Resources. George Mason University

ECE 545 Lecture 12. FPGA Resources. George Mason University ECE 545 Lecture 2 FPGA Resources George Mason University Recommended reading 7 Series FPGAs Configurable Logic Block: User Guide Overview Functional Details 2 What is an FPGA? Configurable Logic Blocks

More information

Quartus Counter Example. Last updated 9/6/18

Quartus Counter Example. Last updated 9/6/18 Quartus Counter Example Last updated 9/6/18 Create a logic design from start to a DE10 implementation This example uses best design practices This example is not about creating HDL The HDL code will be

More information

Counters. Counter Types. Variations. Modulo Gray Code BCD (Decimal) Decade Ring Johnson (twisted ring) LFSR

Counters. Counter Types. Variations. Modulo Gray Code BCD (Decimal) Decade Ring Johnson (twisted ring) LFSR CE 1911 Counters Counter Types Modulo Gray Code BC (ecimal) ecade Ring Johnson (twisted ring) LFSR Variations Asynchronous / Synchronous Up/own Loadable 2 tj Modulo-n (n = a power of 2) Asynchronous Count

More information

Nanosistemų programavimo kalbos 5 paskaita. Sekvencinių schemų projektavimas

Nanosistemų programavimo kalbos 5 paskaita. Sekvencinių schemų projektavimas Nanosistemų programavimo kalbos 5 paskaita Sekvencinių schemų projektavimas Terminai Combinational circuit kombinacinė schema (be atminties elementų) Sequential circuit nuosekli (trigerinė, sekvencinė)

More information

ECE 459/559 Secure & Trustworthy Computer Hardware Design

ECE 459/559 Secure & Trustworthy Computer Hardware Design ECE 459/559 Secure & Trustworthy Computer Hardware Design VHDL Overview Garrett S. Rose Spring 2016 Recap Public Key Encryption (PKE) RSA (Rivest, Shamir and Adelman) Encryption Advanced Encryption Standard

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing async circuit 4. Inference of basic memory elements 5. Simple

More information

CprE 583 Reconfigurable Computing

CprE 583 Reconfigurable Computing Recap Moore FSM Example CprE / ComS 583 Reconfigurable Computing Moore FSM that recognizes sequence 10 0 1 0 1 S0 / 0 S1 / 0 1 S2 / 1 Prof. Joseph Zambreno Department of Electrical and Computer Engineering

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 6

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 6 DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 6 FINITE STATE MACHINES (FSMs) Moore Machines Mealy Machines FINITE STATE MACHINES (FSMs) Classification: Moore Machine: Outputs depend only on the current state

More information

VHDL HIERARCHICAL MODELING

VHDL HIERARCHICAL MODELING To incorporate hierarchy in VHDL we must add component declarations and component instantiations to the model. In addition, we need to declare internal signals to interconnect the components. We can also

More information

ECE 545 Lecture 7. Advanced Testbenches. George Mason University

ECE 545 Lecture 7. Advanced Testbenches. George Mason University ECE 545 Lecture 7 Advanced Testbenches George Mason University Steps of the Design Process 1. Text description 2. Interface 3. Pseudocode 4. Block diagram of the Datapath 5. Interface with the division

More information

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis ECE 4514 Digital Design II A Tools/Methods Lecture Second half of Digital Design II 9 10-Mar-08 L13 (T) Logic Synthesis PJ2 13-Mar-08 L14 (D) FPGA Technology 10 18-Mar-08 No Class (Instructor on Conference)

More information

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University Hardware description language (HDL) Intended to describe circuits textually, for a computer to read Evolved starting in the 1970s and 1980s Popular languages today include: VHDL Defined in 1980s by U.S.

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Hardware Description Language VHDL (1) Introduction

Hardware Description Language VHDL (1) Introduction Hardware Description Language VHDL (1) Introduction Digital Radiation Measurement and Spectroscopy NE/RHP 537 Introduction Hardware description language (HDL) Intended to describe circuits textually, for

More information

DIGITAL LOGIC DESIGN VHDL Coding for FPGAs Unit 6

DIGITAL LOGIC DESIGN VHDL Coding for FPGAs Unit 6 DIGITAL LOGIC DESIGN VHDL Coding for FPGAs Unit 6 FINITE STATE MACHINES (FSMs) Moore Machines Mealy Machines Algorithmic State Machine (ASM) charts FINITE STATE MACHINES (FSMs) Classification: Moore Machine:

More information

COE 405, Term 062. Design & Modeling of Digital Systems. HW# 1 Solution. Due date: Wednesday, March. 14

COE 405, Term 062. Design & Modeling of Digital Systems. HW# 1 Solution. Due date: Wednesday, March. 14 COE 405, Term 062 Design & Modeling of Digital Systems HW# 1 Solution Due date: Wednesday, March. 14 Q.1. Consider the 4-bit carry-look-ahead adder (CLA) block shown below: A 3 -A 0 B 3 -B 0 C 3 4-bit

More information

Chapter 6 Combinational-Circuit Building Blocks

Chapter 6 Combinational-Circuit Building Blocks Chapter 6 Combinational-Circuit Building Blocks Commonly used combinational building blocks in design of large circuits: Multiplexers Decoders Encoders Comparators Arithmetic circuits Multiplexers A multiplexer

More information

SRI SUKHMANI INSTITUTE OF ENGINEERING AND TECHNOLOGY, DERA BASSI (MOHALI)

SRI SUKHMANI INSTITUTE OF ENGINEERING AND TECHNOLOGY, DERA BASSI (MOHALI) SRI SUKHMANI INSTITUTE OF ENGINEERING AND TECHNOLOGY, DERA BASSI (MOHALI) VLSI LAB MANUAL ECE DEPARTMENT Introduction to VHDL It is a hardware description language that can be used to model a digital system

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 3: Modeling in VHDL VHDL: Overview 2 VHDL VHSIC Hardware Description Language VHSIC=Very High Speed Integrated Circuit Programming language for modelling of hardware

More information

Lab 3: FPGA and VLSI Design

Lab 3: FPGA and VLSI Design GIF-4201/GEL-7016 (Micro-électronique) Lab 3: FPGA and VLSI esign VHL code, synthesis, design verification Gabriel Gagnon-Turcotte, Mehdi Noormohammadi Khiarak and Benoit Gosselin epartment of Electrical

More information

EEL 4712 Digital Design Test 1 Spring Semester 2008

EEL 4712 Digital Design Test 1 Spring Semester 2008 IMPORTANT: Please be neat and write (or draw) carefully. If we cannot read it with a reasonable effort, it is assumed wrong. Also, as always, the best answer gets the most points. COVER SHEET: Problem:

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - V Introduction to Verilog Hardware Description Language Introduction HDL for combinational circuits Sequential circuits Registers and counters HDL description for binary multiplier. 5.1 INTRODUCTION

More information

ECE 4514 Digital Design II. Spring Lecture 15: FSM-based Control

ECE 4514 Digital Design II. Spring Lecture 15: FSM-based Control ECE 4514 Digital Design II Lecture 15: FSM-based Control A Design Lecture Overview Finite State Machines Verilog Mapping: one, two, three always blocks State Encoding User-defined or tool-defined State

More information

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu Sept 13, 2006 Lecture 3: Basic VHDL constructs Signals, Variables, Constants VHDL Simulator and Test benches Types Reading: Ashenden

More information

Lecture 6. Advanced Testbenches. George Mason University

Lecture 6. Advanced Testbenches. George Mason University Lecture 6 Advanced Testbenches George Mason University Required reading Sundar Rajan, Essential VHDL: RTL Synthesis Done Right Chapter 14, starting from Design Verification 2 Steps of the Design Process

More information

INTRODUCTION TO VHDL. K. Siozios

INTRODUCTION TO VHDL. K. Siozios INTRODUCTION TO VHDL K. Siozios Section of Electronics and Electronic Computers Department of Physics Aristotle University of Thessaloniki (AUTH), Greece Reconfigurable Architectures Today Moore s Law

More information

Single Cycle Datapath

Single Cycle Datapath Single Cycle atapath Lecture notes from MKP, H. H. Lee and S. Yalamanchili Section 4.-4.4 Appendices B.7, B.8, B.,.2 Practice Problems:, 4, 6, 9 ing (2) Introduction We will examine two MIPS implementations

More information

VHDL. ELEC 418 Advanced Digital Systems Dr. Ron Hayne. Images Courtesy of Cengage Learning

VHDL. ELEC 418 Advanced Digital Systems Dr. Ron Hayne. Images Courtesy of Cengage Learning VHDL ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Cengage Learning Design Flow 418_02 2 VHDL Modules 418_02 3 VHDL Libraries library IEEE; use IEEE.std_logic_1164.all; std_logic Single-bit

More information

Lecture 9. VHDL, part IV. Hierarchical and parameterized design. Section 1 HIERARCHICAL DESIGN

Lecture 9. VHDL, part IV. Hierarchical and parameterized design. Section 1 HIERARCHICAL DESIGN Lecture 9 VHDL, part IV Hierarchical and parameterized design Section 1 HIERARCHICAL DESIGN 2 1 Dealing with Large Digital System Design 1. Apply hierarchy to the design At the highest level use larger

More information

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory CS211 Digital Systems/Lab Introduction to VHDL Hyotaek Shim, Computer Architecture Laboratory Programmable Logic Device (PLD) 2/32 An electronic component used to build reconfigurable digital circuits

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

VHDL in 1h. Martin Schöberl

VHDL in 1h. Martin Schöberl VHDL in 1h Martin Schöberl VHDL /= C, Java, Think in hardware All constructs run concurrent Different from software programming Forget the simulation explanation VHDL is complex We use only a small subset

More information

CS232 VHDL Lecture. Types

CS232 VHDL Lecture. Types CS232 VHDL Lecture VHSIC Hardware Description Language [VHDL] is a language used to define and describe the behavior of digital circuits. Unlike most other programming languages, VHDL is explicitly parallel.

More information

Test Benches - Module 8

Test Benches - Module 8 Test Benches Module 8 Jim Duckworth, WPI 1 Overview We have concentrated on VHDL for synthesis Can also use VHDL as a test language Very important to conduct comprehensive verification on your design To

More information

Pollard s Tutorial on Clocked Stuff in VHDL

Pollard s Tutorial on Clocked Stuff in VHDL Pollard s Tutorial on Clocked Stuff in VHDL Welcome to a biased view of how to do register type of stuff in VHDL. The object of this short note is to identify one way to easily handle registered logic

More information

Single Cycle Datapath

Single Cycle Datapath Single Cycle atapath Lecture notes from MKP, H. H. Lee and S. Yalamanchili Section 4.1-4.4 Appendices B.3, B.7, B.8, B.11,.2 ing Note: Appendices A-E in the hardcopy text correspond to chapters 7-11 in

More information

The University of Alabama in Huntsville ECE Department CPE Final Exam Solution Spring 2004

The University of Alabama in Huntsville ECE Department CPE Final Exam Solution Spring 2004 The University of Alabama in Huntsville ECE Department CPE 526 01 Final Exam Solution Spring 2004 1. (15 points) An old Thunderbird car has three left and three right tail lights, which flash in unique

More information

HDL. Hardware Description Languages extensively used for:

HDL. Hardware Description Languages extensively used for: HDL Hardware Description Languages extensively used for: Describing (digital) hardware (formal documentation) Simulating it Verifying it Synthesizing it (first step of modern design flow) 2 main options:

More information

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8 CSCB58 - Lab 3 Latches, Flip-flops, and Registers Learning Objectives The purpose of this exercise is to investigate the fundamental synchronous logic elements: latches, flip-flops, and registers. Prelab

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

8 Register, Multiplexer and

8 Register, Multiplexer and 8 Register, Multiplexer and Three-State Inference HDL Compiler can infer Registers (latches and flip flops) Multiplexers Three state gates This chapter discusses methods of inferring different types of

More information

Writing VHDL for RTL Synthesis

Writing VHDL for RTL Synthesis Writing VHDL for RTL Synthesis Stephen A. Edwards, Columbia University December 21, 2009 The name VHDL is representative of the language itself: it is a two-level acronym that stands for VHSIC Hardware

More information

EENG 2910 Project III: Digital System Design. Due: 04/30/2014. Team Members: University of North Texas Department of Electrical Engineering

EENG 2910 Project III: Digital System Design. Due: 04/30/2014. Team Members: University of North Texas Department of Electrical Engineering EENG 2910 Project III: Digital System Design Due: 04/30/2014 Team Members: University of North Texas Department of Electrical Engineering Table of Content i Contents Abstract...3 Introduction...3 Report...4

More information

The block diagram representation is given below: The output equation of a 2x1 multiplexer is given below:

The block diagram representation is given below: The output equation of a 2x1 multiplexer is given below: Experiment-3: Write VHDL programs for the following circuits, check the wave forms and the hardware generated a. multiplexer b. De-Multiplexer Objective: i. To learn the VHDL coding for Multiplexer and

More information

Designing with VHDL and FPGA

Designing with VHDL and FPGA Designing with VHDL and FPGA Instructor: Dr. Ahmad El-Banna lab# 5-II 1 Agenda Structural way in VHDL Mixed Example 2 Modeling the Structurural way Structural architecture implements the module as a composition

More information