Documentation. Implementation Xilinx ISE v10.1. Simulation

Size: px
Start display at page:

Download "Documentation. Implementation Xilinx ISE v10.1. Simulation"

Transcription

1 DS317 September 19, 2008 Introduction The Xilinx LogiCORE IP Generator is a fully verified first-in first-out () memory queue for applications requiring in-order storage and retrieval. The core provides an optimized solution for all configurations and delivers maximum performance (up to 500 MHz) while utilizing minimum resources. Delivered through the Xilinx CORE Generator software, the structure can be customized by the user including the width, depth, status flags, memory type, and the write/read port aspect ratios. This document describes the features of the core, specifies the interfaces, and defines the input and output signals. For detailed information about designing with the core, see the Generator User Guide. (UG175). Features depths up to 4,194,304 words data widths from 1 to 1024 bits Non-symmetric aspect ratios (read-to-write port ratios ranging from 1:8 to 8:1) or common clock domains Selectable memory type (block, distributed, shift register, or built-in ) Synchronous or asynchronous reset option Hamming Error Correction Checking (ECC) for Virtex -5 FPGA built-in and block based First-word fall-through (FWFT) Full and empty status flags, and almost full and almost empty flags for indicating one-word-left Programmable full and empty status flags, set by user-defined constant(s) or dedicated input port(s) Configurable handshake signals Embedded register option for Virtex-5 FPGA block and built-in based Fully configurable using the Xilinx CORE Generator Supported FPGA Device Families Performance and Resources Used Documentation Design File Formats LogiCORE IP Facts Core Specifics Virtex-5, Virtex-4/XA, Virtex-II, Virtex-II Pro, Virtex-E, Virtex, Spartan -3A/3AN/3A DSP, Spartan-3E/XA, Spartan- 3/XA, Spartan-IIE, Spartan-II Provided with Core See Tables 9 and 11 User Guide Release Notes Migration Guide (1) VHDL, Verilog Instantiation Template VHDL, Verilog Design Tool Requirements Implementation Xilinx ISE v10.1 Simulation Supported Simulation Models Support Provided by Xilinx, Mentor ModelSim v6.3c Cadence IUS v6.1 Verilog Behavioral (2) VHDL Behavioral (2) Verilog Structural (3) VHDL Structural (3) 1. The Migration Guide provides instructions for converting legacy Asynchronous and Synchronous LogiCORE IP cores to Generator cores. 2. Behavioral models are not cycle accurate and do not model synchronization delay. See the simulation section of the Generator User Guide (UG175) for details. 3. Structural models should be used if cycle-accurate simulation is required Xilinx, Inc. All rights reserved. XILINX, the Xilinx logo, the Brand Window, and other designated brands included herein are trademarks of Xilinx, Inc. All other trademarks are the property of their respective owners. DS317 September 19,

2 Applications In digital designs, s are ubiquitous constructs required for data manipulation tasks such as clock domain crossing, low-latency memory buffering, and bus width conversion. Figure 1 highlights just one of many configurations that the Generator supports. In this example, the design has two independent clock domains and the width of the write data bus is four times wider than the read data bus. Using the Generator, the user is able to rapidly generate solutions such as this one, that is customized for their specific requirements and provides a solution fully optimized for Xilinx FPGAs. X-Ref Target - Figure 1 Core Clock 1 Domain Logic DATA IN 128 Bits Configuration: Clocks Aspect Ratio = 4:1 DATA OUT 32 Bits Clock 2 Domain Logic CLK 1 CLK 1 CLK 2 CLK 2 Figure 1: Generator Application Example Feature Overview Clock Implementation Operation The Generator enables s to be configured with either independent or common clock domains for write and read operations. The independent clock configuration of the Generator enables the user to implement unique clock domains on the write and read ports. The Generator handles the synchronization between clock domains, placing no requirements on phase and frequency. When data buffering in a single clock domain is required, the Generator can be used to generate a core optimized for that single clock. Virtex-5 FPGA Built-in Support The Generator supports the Virtex-5 FPGA built-in modules, enabling large s to be created by cascading the built-in s in both width and depth. The core expands the capabilities of the built-in s by utilizing the FPGA fabric to create optional status flags not implemented in the builtin macro. The built-in Error Correction Checking (ECC) feature in the built-in macro is also available to the user. Virtex-4 FPGA Built-in Support Support of the Virtex-4 FPGA built-in allows generation of a single primitive complete with fabric implemented flag patch, described in "Solution 1: Synchronous/Asynchronous Clock Work- Arounds," in the Virtex-4 FPGA User Guide (UG070). 2 DS317 September 19, 2008

3 First-Word Fall-Through (FWFT) The first-word fall-through (FWFT) feature provides the ability to look-ahead to the next word available from the without issuing a read operation. When data is available in the, the first word falls through the and appears automatically on the output bus (DOUT). FWFT is useful in applications that require low-latency access to data and to applications that require throttling based on the contents of the data that are read. FWFT support is included in s created with block, distributed, or Virtex-5 FPGA built-in s. Memory Types The Generator implements s built from block, distributed, shift registers, or the Virtex-5 FPGA built-in s. The core combines memory primitives in an optimal configuration based on the selected width and depth of the. The following table provides best-use recommendations for specific design requirements. The generator also creates single primitive Virtex-4 FPGA built-in s with the fabric implemented flag patch described in "Solution 1: Synchronous/Asynchronous Clock Work-Arounds," in the Virtex-4 FPGA User Guide. Table 1: Memory Configuration Benefits Clocks Common Clock Small Buffering Medium-Large Buffering High Performance Minimal Resources Virtex-5 FPGA with Built-in X X X X X Block X X X X X Shift Register X X X Distributed X X X X Non-Symmetric Aspect Ratio The core supports generating s with write and read ports of different widths, enabling automatic width conversion of the data width. Non-symmetric aspect ratios ranging from 1:8 to 8:1 are supported for the write and read port widths. This feature is available for s implemented with block that are configured to have independent write and read clocks. Embedded Registers in block and Macros (Virtex-4 and Virtex-5 Devices) In Virtex-4 and Virtex-5 FPGA block and macros, embedded output registers are available to increase performance and add a pipeline register to the macros. This feature can be leveraged to add one additional latency to the core (DOUT bus and VALID outputs) or implement the output registers for FWFT s. See Embedded Registers in block and Macros in the Generator User Guide, page 71, for more information. Error Correction Checking In the Virtex-5 FPGA architecture, the block and macros are equipped with built-in Error Correction Checking (ECC). This feature is available for both the common and independent clock block or built-in s. DS317 September 19,

4 Generator Configurations Table 2 defines the supported memory and clock configurations. Table 2: Configurations Clock Domain Memory Type Nonsymmetric Aspect Ratios First-word Fall-Through Common Clock: Block, Distributed, Shift Register This implementation category allows the user to select block, distributed, or shift register and supports a common clock for write and read data accesses. The feature set supported for this configuration includes status flags (full, almost full, empty, and almost empty) and programmable empty and full flags generated with user-defined thresholds. In addition, optional handshaking and error flags are supported (write acknowledge, overflow, valid, and underflow), and an optional data count provides the number of words in the. In addition, for the block and distributed implementations, the user has the option to select a synchronous or asynchronous reset for the core. For Virtex-5 FPGA designs, the block configuration also supports ECC. Common Clock: Virtex-5 or Virtex-4 FPGA Built-in ECC Support Embedded Register Support Common block X X X (1) Common Distributed X Common Shift Register Common Built-in (2) 1. Embedded register support is only available for Virtex-4 and Virtex-5 FPGA block -based s. 2. The built-in primitive is only available in the Virtex-5 and Virtex-4 architectures. 3. FWFT is only supported for built-in s in Virtex-5 devices. 4. Only available for Virtex-5 FPGA common clock built-in s. 5. For non-symmetric aspect ratios, use the block implementation (feature not supported in built-in primitive). This implementation category allows the user to select the built-in available in the Virtex-5 or Virtex-4 FPGA architecture and supports a common clock for write and read data accesses. The feature set supported for this configuration includes status flags (full and empty) and optional programmable full and empty flags with user-defined thresholds. In addition, optional handshaking and error flags are available (write acknowledge, overflow, valid, and underflow). The Virtex-5 FPGA built-in configuration also supports the built-in ECC feature. X (3) X X (4) block X X X X (1) Distributed X Built-in (2), (5) 4 DS317 September 19, 2008

5 Clocks: Block and Distributed This implementation category allows the user to select block or distributed and supports independent clock domains for write and read data accesses. Operations in the read domain are synchronous to the read clock and operations in the write domain are synchronous to the write clock. The feature set supported for this type of includes non-symmetric aspect ratios (different write and read port widths), status flags (full, almost full, empty, and almost empty), as well as programmable full and empty flags generated with user-defined thresholds. Optional read data count and write data count indicators provide the number of words in the relative to their respective clock domains. In addition, optional handshaking and error flags are available (write acknowledge, overflow, valid, and underflow). For Virtex-5 FPGA designs, the block configuration also supports ECC. Clocks: Virtex-5 or Virtex-4 FPGA Built-in This implementation category allows the user to select the built-in available in the Virtex-5 or Virtex-4 FPGA architecture. Operations in the read domain are synchronous to the read clock and operations in the write domain are synchronous to the write clock. The feature set supported for this configuration includes status flags (full and empty) and programmable full and empty flags generated with user-defined thresholds. In addition, optional handshaking and error flags are available (write acknowledge, overflow, valid, and underflow). The Virtex-5 FPGA built-in configuration also supports the built-in ECC feature. DS317 September 19,

6 Generator Features Table 3 summarizes the supported Generator features for each clock configuration and memory type. For detailed information, see the Generator User Guide. Table 3: Configurations Summary Clocks Common Clock Feature Block Distributed Built-in Block Distributed, Shift Register Built-in Non-symmetric Aspect Ratios (1) X Symmetric Aspect Ratios X X X X X X Almost Full X X X X Almost Empty X X X X Handshaking X X X X X X Data Count X X X X Programmable Empty/Full Thresholds X X X (2) X X X (2) First-Word Fall- Through X X X (3) X X (4) X (3) Synchronous Reset X X (5) Asynchronous Reset x (6) X (6) X X (6) X (6) X DOUT Reset Value X (7) X X (7) X ECC X (8) X (8) X (8) X (8) Embedded Register X (9) X (9) X (10) 1. For applications with a single clock that require non-symmetric ports, use the independent clock configuration and connect the write and read clocks to the same source. A dedicated solution for common clocks will be available in a future release. Contact your Xilinx representative for more details. 2. For built-in s, the range of Programmable Empty/Full threshold is limited to take advantage of the logic internal to the macro. 3. First-Word-Fall-Through is only supported for the Virtex-5 FPGA built-in s. 4. First-Word-Fall-Through is supported for distributed only. 5. Synchronous reset is available and optional for common clock configurations using distributed and block only. 6. Asynchronous reset is optional for all s built using distributed and block. 7. All architectures except Virtex, Virtex-E, Spartan-II, and Spartan-IIE FPGAs. 8. ECC is only supported for the Virtex-5 FPGA and block and built-in s. 9. Embedded register option is only supported in Virtex-4 and Virtex-5 FPGA block s. 10. Embedded register option is supported only in Virtex-5 FPGA common clock built-in s. See Embedded Registers in block and Macros (Virtex-4 and Virtex-5 Devices). 6 DS317 September 19, 2008

7 Interfaces The following sections define the interface signals. Figure 2 illustrates these signals (both standard and optional ports) for a core that supports independent write and read clocks. X-Ref Target - Figure 2 DIN[N:0] WR_EN DOUT[M:0] RD_EN WR_CLK RD_CLK FULL EMPTY ALMOST_FULL PROG_FULL Write Clock Domain Read Clock Domain ALMOST_EMPTY PROG_EMPTY WR_ACK VALID OVERFLOW UNDERFLOW PROG_FULL_THRESH_ASSERT PROG_EMPTY_THRESH_ASSERT PROG_FULL_THRESH_NEGATE PROG_EMPTY_THRESH_NEGATE PROG_FULL_THRESH PROG_EMPTY_THRESH RST Figure 2: with Clocks: Interface Signals Interface Signals: s With Clocks The RST signal, as defined Table 4, causes a reset of the entire core logic (both write and read clock domains. It is an asynchronous input synchronized internally in the core before use. The initial hardware reset should be generated by the user. See the Generator User Guide for specific information about reset requirements and behavior. Table 4: Reset Signal for s with Clocks Note: Optional ports represented in italics Name Direction Description RST Reset: An asynchronous reset signal that initializes all internal pointers and output registers. DS317 September 19,

8 Table 5 defines the write interface signals for s with independent clocks. The write interface signals are divided into required and optional signals and all signals are synchronous to the write clock (WR_CLK). Table 5: Write Interface Signals for s with Clocks WR_CLK Name Direction Description Required Write Clock: All signals on the write domain are synchronous to this clock. DIN[N:0] Data : The input data bus used when writing the. WR_EN FULL ALMOST_FULL PROG_FULL WR_DATA_COUNT [D:0] WR_ACK OVERFLOW Write Enable: If the is not full, asserting this signal causes data (on DIN) to be written to the. Full Flag: When asserted, this signal indicates that the is full. Write requests are ignored when the is full, initiating a write when the is full is non-destructive to the contents of the. Optional Almost Full: When asserted, this signal indicates that only one more write can be performed before the is full. Programmable Full: This signal is asserted when the number of words in the is greater than or equal to the assert threshold. It is deasserted when the number of words in the is less than the negate threshold. Write Data Count: This bus indicates the number of words written into the. The count is guaranteed to never underreport the number of words in the, to ensure the user never overflows the. The exception to this behavior is when a write operation occurs at the rising edge of WR_CLK, that write operation will only be reflected on WR_DATA_COUNT at the next rising clock edge. If D is less than log2( depth)-1, the bus is truncated by removing the least-significant bits. Write Acknowledge: This signal indicates that a write request (WR_EN) during the prior clock cycle succeeded. Overflow: This signal indicates that a write request (WR_EN) during the prior clock cycle was rejected, because the is full. Overflowing the is non-destructive to the contents of the. 8 DS317 September 19, 2008

9 Table 5: Write Interface Signals for s with Clocks (Continued) Name Direction Description PROG_FULL_THRESH PROG_FULL_THRESH_ASSERT PROG_FULL_THRESH_NEGATE Programmable Full Threshold: This signal is used to input the threshold value for the assertion and de-assertion of the programmable full (PROG_FULL) flag. The threshold can be dynamically set in-circuit during reset. The user can either choose to set the assert and negate threshold to the same value (using PROG_FULL_THRESH), or the user can control these values independently (using PROG_FULL_THRESH_ASSERT and PROG_FULL_THRESH_NEGATE). Programmable Full Threshold Assert: This signal is used to set the upper threshold value for the programmable full flag, which defines when the signal is asserted. The threshold can be dynamically set in-circuit during reset. Programmable Full Threshold Negate: This signal is used to set the lower threshold value for the programmable full flag, which defines when the signal is de-asserted. The threshold can be dynamically set in-circuit during reset. Table 6 defines the read interface signals of a with independent clocks. Read interface signals are divided into required signals and optional signals, and all signals are synchronous to the read clock (RD_CLK). Table 6: Read Interface Signals for s with Clocks Name Direction Description Required RD_CLK DOUT[M:0] RD_EN EMPTY ALMOST_EMPTY PROG_EMPTY Read Clock: All signals on the read domain are synchronous to this clock. Data : The output data bus is driven when reading the. Read Enable: If the is not empty, asserting this signal causes data to be read from the (output on DOUT). Empty Flag: When asserted, this signal indicates that the is empty. Read requests are ignored when the is empty, initiating a read while empty is non-destructive to the. Optional Almost Empty Flag: When asserted, this signal indicates that the is almost empty and one word remains in the. Programmable Empty: This signal is asserted when the number of words in the is less than or equal to the programmable threshold. It is de-asserted when the number of words in the exceeds the programmable threshold. DS317 September 19,

10 Table 6: Read Interface Signals for s with Clocks (Continued) Name Direction Description RD_DATA_COUNT [C:0] VALID UNDERFLOW PROG_EMPTY_THRESH PROG_EMPTY_THRESH_ASSERT PROG_EMPTY_THRESH_NEGATE SBITERR DBITERR Read Data Count: This bus indicates the number of words available for reading in the. The count is guaranteed to never over-report the number of words available for reading, to ensure that the user does not underflow the. The exception to this behavior is when the read operation occurs at the rising edge of RD_CLK, that read operation is only reflected on RD_DATA_COUNT at the next rising clock edge. If C is less than log2( depth)-1, the bus is truncated by removing the least-significant bits. Valid: This signal indicates that valid data is available on the output bus (DOUT). Underflow: Indicates that the read request (RD_EN) during the previous clock cycle was rejected because the is empty. Underflowing the is not destructive to the. Programmable Empty Threshold: This signal is used to input the threshold value for the assertion and de-assertion of the programmable empty (PROG_EMPTY) flag. The threshold can be dynamically set in-circuit during reset. The user can either choose to set the assert and negate threshold to the same value (using PROG_EMPTY_THRESH), or the user can control these values independently (using PROG_EMPTY_THRESH_ASSERT and PROG_EMPTY_THRESH_NEGATE). Programmable Empty Threshold Assert: This signal is used to set the lower threshold value for the programmable empty flag, which defines when the signal is asserted. The threshold can be dynamically set in-circuit during reset. Programmable Empty Threshold Negate: This signal is used to set the upper threshold value for the programmable empty flag, which defines when the signal is de-asserted. The threshold can be dynamically set in-circuit during reset. Single Bit Error: Indicates that the ECC decoder detected and fixed a single-bit error on a Virtex-5 FPGA block or built-in macro. For detailed information, see "Chapter 4, Designing with the Core," in the Generator User Guide. Double Bit Error: Indicates that the ECC decoder detected a double-bit error on a Virtex-5 FPGA block or built-in macro and data in the core is corrupted. For detailed information, see "Chapter 4, Designing with the Core," in the Generator User Guide DS317 September 19, 2008

11 Interface Signals: s with Common Clock Table 7 defines the interface signals of a with a common write and read clock and is divided into standard and optional interface signals. All signals (except asynchronous reset) are synchronous to the common clock (CLK). Users have the option to select synchronous or asynchronous reset for the distributed or block implementation. See the Generator User Guide for specific information on reset requirements and behavior. Table 7: Interface Signals for s with a Common Clock Name Direction Description Required RST SRST CLK Reset: An asynchronous reset that initializes all internal pointers and output registers. Synchronous Reset: A synchronous reset that initializes all internal pointers and output registers. Clock: All signals on the write and read domains are synchronous to this clock. DIN[N:0] Data : The input data bus used when writing the. WR_EN FULL Write Enable: If the is not full, asserting this signal causes data (on DIN) to be written to the. Full Flag: When asserted, this signal indicates that the is full. Write requests are ignored when the is full, initiating a write when the is full is non-destructive to the contents of the. DOUT[M:0] Data : The output data bus driven when reading the. RD_EN EMPTY DATA_COUNT [C:0] ALMOST_FULL PROG_FULL WR_ACK OVERFLOW Read Enable: If the is not empty, asserting this signal causes data to be read from the (output on DOUT). Empty Flag: When asserted, this signal indicates that the is empty. Read requests are ignored when the is empty, initiating a read while empty is non-destructive to the. Optional Data Count: This bus indicates the number of words stored in the. If C is less than log2( depth)-1, the bus is truncated by removing the least-significant bits. Almost Full: When asserted, this signal indicates that only one more write can be performed before the is full. Programmable Full: This signal is asserted when the number of words in the is greater than or equal to the assert threshold. It is deasserted when the number of words in the is less than the negate threshold. Write Acknowledge: This signal indicates that a write request (WR_EN) during the prior clock cycle succeeded. Overflow: This signal indicates that a write request (WR_EN) during the prior clock cycle was rejected, because the is full. Overflowing the is non-destructive to the. DS317 September 19,

12 Table 7: Interface Signals for s with a Common Clock (Continued) Name Direction Description PROG_FULL_THRESH PROG_FULL_THRESH_ASSERT PROG_FULL_THRESH_NEGATE ALMOST_EMPTY PROG_EMPTY VALID UNDERFLOW PROG_EMPTY_THRESH PROG_EMPTY_THRESH_ASSERT Programmable Full Threshold: This signal is used to set the threshold value for the assertion and de-assertion of the programmable full (PROG_FULL) flag. The threshold can be dynamically set in-circuit during reset. The user can either choose to set the assert and negate threshold to the same value (using PROG_FULL_THRESH), or the user can control these values independently (using PROG_FULL_THRESH_ASSERT and PROG_FULL_THRESH_NEGATE). Programmable Full Threshold Assert: This signal is used to set the upper threshold value for the programmable full flag, which defines when the signal is asserted. The threshold can be dynamically set in-circuit during reset. Programmable Full Threshold Negate: This signal is used to set the lower threshold value for the programmable full flag, which defines when the signal is de-asserted. The threshold can be dynamically set in-circuit during reset. Almost Empty Flag: When asserted, this signal indicates that the is almost empty and one word remains in the. Programmable Empty: This signal is asserted after the number of words in the is less than or equal to the programmable threshold. It is de-asserted when the number of words in the exceeds the programmable threshold. Valid: This signal indicates that valid data is available on the output bus (DOUT). Underflow: Indicates that read request (RD_EN) during the previous clock cycle was rejected because the is empty. Underflowing the is non-destructive to the. Programmable Empty Threshold: This signal is used to set the threshold value for the assertion and de-assertion of the programmable empty (PROG_EMPTY) flag. The threshold can be dynamically set in-circuit during reset. The user can either choose to set the assert and negate threshold to the same value (using PROG_EMPTY_THRESH), or the user can control these values independently (using PROG_EMPTY_THRESH_ASSERT and PROG_EMPTY_THRESH_NEGATE). Programmable Empty Threshold Assert: This signal is used to set the lower threshold value for the programmable empty flag, which defines when the signal is asserted. The threshold can be dynamically set in-circuit during reset DS317 September 19, 2008

13 Table 7: Interface Signals for s with a Common Clock (Continued) Name Direction Description PROG_EMPTY_THRESH_NEGATE SBITERR DBITERR Programmable Empty Threshold Negate: This signal is used to set the upper threshold value for the programmable empty flag, which defines when the signal is de-asserted. The threshold can be dynamically set in-circuit during reset. Single Bit Error: Indicates that the ECC decoder detected and fixed a single-bit error on a Virtex-5 FPGA block or built-in macro. For detailed information, see "Chapter 4, Designing with the Core," in the Generator User Guide. Double Bit Error: Indicates that the ECC decoder detected a double-bit error on a Virtex-5 FPGA block or built-in macro and data in the core is corrupted. For detailed information, see "Chapter 4, Designing with the Core," in the Generator User Guide. Port Summary Table 8 describes all the Generator ports. For detailed information about any of the ports, see "Chapter 3, Core Architecture," in the Generator User Guide. Table 8: Generator Ports Port Name or Optional Port Clocks Port Available Common Clock RST I Yes Yes Yes SRST I Yes No Yes CLK I No No Yes DATA_COUNT[C:0] O Yes No Yes Write Interface Signals WR_CLK I No Yes No DIN[N:0] I No Yes Yes WR_EN I No Yes Yes FULL O No Yes Yes ALMOST_FULL O Yes Yes Yes PROG_FULL O Yes Yes Yes WR_DATA_COUNT[D:0] O Yes Yes No WR_ACK O Yes Yes Yes OVERFLOW O Yes Yes Yes PROG_FULL_THRESH I Yes Yes Yes PROG_FULL_THRESH_ASSERT I Yes Yes Yes PROG_FULL_THRESH_NEGATE I Yes Yes Yes DS317 September 19,

14 Table 8: Generator Ports (Continued) Port Name or Read Interface Signals Optional Port Clocks Port Available Common Clock RD_CLK I No Yes No DOUT[M:0] O No Yes Yes RD_EN I No Yes Yes EMPTY O No Yes Yes ALMOST_EMPTY O Yes Yes Yes PROG_EMPTY O Yes Yes Yes RD_DATA_COUNT[C:0] O Yes Yes No VALID O Yes Yes Yes UNDERFLOW O Yes Yes Yes PROG_EMPTY_THRESH I Yes Yes Yes PROG_EMPTY_THRESH_ASSERT I Yes Yes Yes PROG_EMPTY_THRESH_NEGATE I Yes Yes Yes SBITERR O Yes Yes Yes DBITERR O Yes Yes Yes Resource Utilization and Performance Performance and resource utilization for a varies depending on the configuration and features selected during core customization. The following tables show resource utilization data and maximum performance values for a variety of sample configurations. Table 9 identifies the results for a configured without optional features. Benchmarks were performed using Virtex-II 2v3000, Virtex-4 4vlx15-11, and Virtex-5 5vlx50-2 FPGAs. Table 9: Benchmarks: Configured without Optional Features Type Synchronous (block ) Synchronous (block ) Depth x Width 512 x x 16 FPGA Family Performance (MHz) LUTs FFs Resources Block Shift Register Distributed Virtex MHz Virtex MHz Virtex-II 195 MHz Virtex MHz Virtex MHz Virtex-II 190 MHz DS317 September 19, 2008

15 Table 9: Benchmarks: Configured without Optional Features (Continued) Type Synchronous (Distributed ) Synchronous (Distributed ) Clocks (block ) Clocks (block ) Clocks (Distributed Clocks (Distributed Shift Register Shift Register Depth x Width 64 x x x x x x x x 16 FPGA Family Performance (MHz) LUTs Resources Virtex MHz Virtex MHz Virtex-II 265 MHz Virtex MHz Virtex MHz Virtex-II 185 MHz Virtex MHz Virtex MHz Virtex-II 230 MHz Virtex MHz Virtex MHz Virtex-II 225 MHz Virtex MHz Virtex MHz Virtex-II 290 MHz Virtex MHz Virtex MHz Virtex-II 195 MHz Virtex MHz Virtex MHz Virtex-II 255 MHz Virtex MHz Virtex MHz Virtex-II 180 MHz FFs Block Shift Register Distributed DS317 September 19,

16 Table 10 provides results for s configured with multiple programmable thresholds. The benchmarks were performed using Virtex-II 2v3000, Virtex-4 4vlx15-11, and Virtex-5 5vlx50-2 FPGAs. Table 10: Benchmarks: Configured with Multiple Programmable Thresholds Type Synchronous (block ) Synchronous (block ) Synchronous (Distributed ) Synchronous (Distributed ) Clocks (block Clocks (block ) Clocks (Distributed Clocks (Distributed Shift Register Shift Register Depth x Width 512 x x x x x x x x x x 16 FPGA Family Performance (MHz) LUTs FFs Resources Block Shift Register Distributed Virtex MHz Virtex MHz Virtex-II 195 MHz Virtex MHz Virtex MHz Virtex-II 190 MHz Virtex MHz Virtex MHz Virtex-II 235 MHz Virtex MHz Virtex MHz Virtex-II 190 MHz Virtex MHz Virtex MHz Virtex-II 230 MHz Virtex MHz Virtex MHz Virtex-II 215 MHz Virtex MHz Virtex MHz Virtex-II 245 MHz Virtex MHz Virtex MHz Virtex-II 190 MHz Virtex MHz Virtex MHz Virtex-II 250 MHz Virtex MHz Virtex MHz Virtex-II 180 MHz DS317 September 19, 2008

17 Table 11 provides results for s configured to use the Virtex-5 FPGA built-in. The benchmarks were performed using a Virtex-5 5vlx50-2 FPGA. Table 11: Benchmarks: Configured with Virtex-5 36 Resources Type Synchronous 36 (basic) Synchronous 36 (with handshaking) Clocks 36 (basic) Clocks 36 (with handshaking) Depth x Width 512 x 72 16k x x 72 16k x x 72 16k x x 72 16k x 8 Read Mode Performance (MHz) Resources LUTs FFs 36s Standard FWFT Standard FWFT Standard FWFT Standard FWFT Standard FWFT Standard FWFT Standard FWFT Standard FWFT Table 12 provides results for s configured to use the Virtex-4 built-in with patch. The benchmarks were performed using a Virtex-4 4vlx15-11 FPGA. Table 12: Benchmarks: Configured with Virtex-4 16 Patch Type Depth x Width Clock Ratios Performance (MHz) LUTs FFs Resources Distributed s 16s Built-in (basic) 512 x 36 Built-in (with handshaking) 512 x 36 WR_CLK RD_CLK RD_CLK > WR_CLK WR_CLK RD_CLK RD_CLK > WR_CLK DS317 September 19,

18 Verification Xilinx has verified the Generator core in a proprietary test environment, using an internally developed bus functional model. Tens of thousands of test vectors were generated and verified, including both valid and invalid write and read data accesses. References [1] Generator User Guide (UG175) [2] Virtex-4 User Guide (UG070) Ordering Information The Generator core is free of charge and is included with the Xilinx ISE CORE Generator system. Updates to the core are bundled with ISE IP Updates, accessible from the Xilinx Download Center. To order Xilinx software, please contact your local Xilinx sales representative. Information about additional Xilinx LogiCORE IP modules is available at the Xilinx IP Center. Related Information Xilinx products are not intended for use in life-support appliances, devices, or systems. Use of a Xilinx product in such application without the written consent of the appropriate Xilinx officer is prohibited. Support For technical support, visit Xilinx provides technical support for this product when used as described in the product documentation. Xilinx cannot guarantee timing, functionality, or support of the product if implemented in devices not listed in the documentation, if customized beyond that allowed in the product documentation, or if any changes are made in sections of design marked DO NOT MODIFY. Revision History The table below shows the revision history of this document. Date Version Revision 4/23/ Initial Xilinx release. 5/21/ Support for Virtex-4 built-in implementation. 11/11/ Updated for Xilinx software v6.3i. 04/28/ /31/ The original product specification has been divided into two documents - a data sheet and a user guide. The document has also been updated to indicate core support of first-word fall-through feature and Xilinx software v7.1i. Updated Xilinx v7.1i to SP3, removed references to first-word fall-through as new in this release. Updated basic benchmark value to reflect increased performance. 1/18/ Minor updates for release v2.3, advanced ISE support to 8.1i. 7/13/ Added support for Virtex-5, ISE to v8.2i, core version to 3.1 9/21/ Core version updated to 3.2, support for Spartan-3A added to Facts table. 2/15/ Updates to Xilinx tools 9.1i, core version /02/ Added support for Spartan-3A DSP devices, upgraded Cadence IUS version to DS317 September 19, 2008

19 Date Version Revision 8/8/ Updated to Xilinx tools v9.2i; Cadence IUS v /10/ Updated for IP2 Jade Minor release. 3/24/ Updated core to version 4.3; Xilinx tools to /19/ Updated core to version 4.4; Xilinx tools 10.1, SP3. Notice of Disclaimer Xilinx is providing this design, code, or information (collectively, the "Information") to you "AS IS" with no warranty of any kind, express or implied. Xilinx makes no representation that the Information, or any particular implementation thereof, is free from any claims of infringement. You are responsible for obtaining any rights you may require for any implementation based on the Information. XILINX EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE INFORMATION OR ANY IMPLEMENTATION BASED THEREON, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF INFRINGEMENT AND ANY IMPLIED WARRANTIES OF MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE. Except as stated herein, none of the Information may be copied, reproduced, distributed, republished, downloaded, displayed, posted, or transmitted in any form or by any means including, but not limited to, electronic, mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. DS317 September 19,

20 20 DS317 September 19, 2008

LogiCORE IP FIFO Generator v6.1

LogiCORE IP FIFO Generator v6.1 DS317 April 19, 2010 Introduction The Xilinx LogiCORE IP FIFO Generator is a fully verified first-in first-out (FIFO) memory queue for applications requiring in-order storage and retrieval. The core provides

More information

FIFO Generator v13.0

FIFO Generator v13.0 FIFO Generator v13.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Native Interface FIFOs.............................................................. 5

More information

LogiCORE IP FIFO Generator v8.2

LogiCORE IP FIFO Generator v8.2 DS317 June 22, 2011 Introduction The Xilinx LogiCORE IP FIFO Generator is a fully verified first-in first-out (FIFO) memory queue for applications requiring in-order storage and retrieval. The core provides

More information

LogiCORE IP FIFO Generator v8.3

LogiCORE IP FIFO Generator v8.3 DS317 October 19, 2011 Introduction The Xilinx LogiCORE IP Generator is a fully verified first-in first-out () memory queue for applications requiring in-order storage and retrieval. The core provides

More information

LogiCORE IP FIFO Generator v9.1

LogiCORE IP FIFO Generator v9.1 DS317 April 24, 2012 Introduction The Xilinx LogiCORE IP Generator is a fully verified first-in first-out () memory queue for applications requiring in-order storage and retrieval. The core provides an

More information

Utility Reduced Logic (v1.00a)

Utility Reduced Logic (v1.00a) DS482 December 2, 2009 Introduction The Utility Reduced Logic core applies a logic reduction function over an input vector to generate a single bit result. The core is intended as glue logic between peripherals.

More information

Utility Bus Split (v1.00a)

Utility Bus Split (v1.00a) DS484 December 2, 2009 Introduction The Utility Bus Split core splits a bus into smaller buses using the Xilinx Platform Studio (XPS). The core splits one input bus into two output buses which serve as

More information

Fibre Channel Arbitrated Loop v2.3

Fibre Channel Arbitrated Loop v2.3 - THIS IS A DISCONTINUED IP CORE - 0 Fibre Channel Arbitrated Loop v2.3 DS518 March 24, 2008 0 0 Introduction The LogiCORE IP Fibre Channel Arbitrated Loop (FC-AL) core provides a flexible, fully verified

More information

LogiCORE IP AXI Master Lite (axi_master_lite) (v1.00a)

LogiCORE IP AXI Master Lite (axi_master_lite) (v1.00a) LogiCORE IP AXI Master Lite (axi_master_lite) (v1.00a) DS836 March 1, 2011 Introduction The AXI Master Lite is an AXI4-compatible LogiCORE IP product. It provides an interface between a user-created IP

More information

LogiCORE IP Device Control Register Bus (DCR) v2.9 (v1.00b)

LogiCORE IP Device Control Register Bus (DCR) v2.9 (v1.00b) LogiCORE IP Device Control Register Bus (DCR) v2.9 (v1.00b) DS402 April 19, 2010 Introduction The Xilinx 32-Bit Device Control Register Bus (DCR), a soft IP core designed for Xilinx FPGAs, provides the

More information

LUTs. Block RAMs. Instantiation. Additional Items. Xilinx Implementation Tools. Verification. Simulation

LUTs. Block RAMs. Instantiation. Additional Items. Xilinx Implementation Tools. Verification. Simulation 0 PCI Arbiter (v1.00a) DS495 April 8, 2009 0 0 Introduction The PCI Arbiter provides arbitration for two to eight PCI master agents. Parametric selection determines the number of masters competing for

More information

Data Side OCM Bus v1.0 (v2.00b)

Data Side OCM Bus v1.0 (v2.00b) 0 Data Side OCM Bus v1.0 (v2.00b) DS480 January 23, 2007 0 0 Introduction The DSOCM_V10 core is a data-side On-Chip Memory (OCM) bus interconnect core. The core connects the PowerPC 405 data-side OCM interface

More information

LogiCORE IP Serial RapidIO v5.6

LogiCORE IP Serial RapidIO v5.6 DS696 March 1, 2011 Introduction The LogiCORE IP Serial RapidIO Endpoint solution comprises a highly flexible and optimized Serial RapidIO Physical Layer core and a Logical (I/O) and Transport Layer interface.

More information

Asynchronous FIFO V3.0. Features. Synchronization and Timing Issues. Functional Description

Asynchronous FIFO V3.0. Features. Synchronization and Timing Issues. Functional Description November 3, 2000 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Features Drop-in module for Virtex,

More information

Synchronous FIFO V3.0. Features. Functional Description

Synchronous FIFO V3.0. Features. Functional Description Synchronous FIFO V3.0 October 4, 2001 Product Specification Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com

More information

LogiCORE IP Mailbox (v1.00a)

LogiCORE IP Mailbox (v1.00a) DS776 September 21, 2010 Introduction In a multiprocessor environment, the processors need to communicate data with each other. The easiest method is to set up inter-processor communication through a mailbox.

More information

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7 DS550 April 19, 2010 Virtex-5 FPGA Embedded Tri-Mode Wrapper v1.7 Introduction The LogiCORE IP Virtex -5 FPGA Embedded Tri-Mode Wrapper automates the generation of HDL wrapper files for the Embedded Tri-Mode

More information

Discontinued IP. Distributed Memory v7.1. Functional Description. Features

Discontinued IP. Distributed Memory v7.1. Functional Description. Features 0 Distributed Memory v7.1 DS230 April 28, 2005 0 0 Features Drop-in module for Virtex, Virtex-E, Virtex-II, Virtex-II Pro, Virtex-4, Spartan -II, Spartan-IIE, and Spartan-3 FPGAs Generates ROMs, single/dual-port

More information

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4 DS710 April 19, 2010 Introduction The LogiCORE IP Virtex -6 FPGA Embedded Tri- Mode Ethernet MAC Wrapper automates the generation of HDL wrapper files for the Embedded Tri- Mode Ethernet MAC (Ethernet

More information

Instantiation. Verification. Simulation. Synthesis

Instantiation. Verification. Simulation. Synthesis 0 XPS Mailbox (v2.00a) DS632 June 24, 2009 0 0 Introduction In a multiprocessor environment, the processors need to communicate data with each other. The easiest method is to set up inter-processor communication

More information

LogiCORE IP Initiator/Target v5 and v6 for PCI-X

LogiCORE IP Initiator/Target v5 and v6 for PCI-X LogiCORE IP Initiator/Target v5 and v6 for PCI-X DS208 April 19, 2010 Introduction The LogiCORE IP Initiator/Target v5 and v6 for PCI -X core interface is a pre-implemented and fully tested module for

More information

Channel FIFO (CFIFO) (v1.00a)

Channel FIFO (CFIFO) (v1.00a) 0 Channel FIFO (CFIFO) (v1.00a) DS471 April 24, 2009 0 0 Introduction The Channel FIFO (CFIFO) contains separate write (transmit) and read (receive) FIFO designs called WFIFO and RFIFO, respectively. WFIFO

More information

LogiCORE IP Multiply Adder v2.0

LogiCORE IP Multiply Adder v2.0 DS717 March 1, 2011 Introduction The Xilinx LogiCORE I Multiply Adder core provides implementations of multiply-add using XtremeDS slices. It performs a multiplication of two operands and adds (or subtracts)

More information

LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a)

LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a) DS646 June 22, 2011 LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a) Introduction The LogiCORE IP ChipScope Pro Integrated CONtroller core (ICON) provides an interface between the JTAG Boundary

More information

32-Bit Initiator/Target v3 & v4 for PCI

32-Bit Initiator/Target v3 & v4 for PCI om 0 32-Bit Initiator/Target v3 & v4 for PCI DS206 September 19, 2008 0 0 Features Fully compliant 32-bit, 66/33 MHz Initiator/Target core for PCI Customizable, programmable, single-chip solution Pre-defined

More information

3GPP Turbo Encoder v4.0

3GPP Turbo Encoder v4.0 DS319 June 24, 2009 Features Drop-in module for Virtex -4, Virtex-5, Virtex-6, Spartan -6, Spartan-3, and Spartan-3E FPGAs Implements the 3GPP/UMTS specification [Ref 1] [Ref 2] Core contains the full

More information

Virtex-5 GTP Aurora v2.8

Virtex-5 GTP Aurora v2.8 0 DS538 October 10, 2007 0 0 Introduction The Virtex -5 GTP Aurora core implements the Aurora protocol using the high-speed serial GTP transceivers in Virtex-5 LXT and SXT devices. The core can use up

More information

LogiCORE IP Block Memory Generator v6.1

LogiCORE IP Block Memory Generator v6.1 LogiCORE IP Block Memory Generator v6.1 DS512 March 1, 2011 Introduction The Xilinx LogiCORE IP Block Memory Generator (BMG) core is an advanced memory constructor that generates area and performance-optimized

More information

Xilinx 7 Series FPGA Libraries Guide for HDL Designs. UG768 (v 13.4) January 18, 2012

Xilinx 7 Series FPGA Libraries Guide for HDL Designs. UG768 (v 13.4) January 18, 2012 Xilinx 7 Series FPGA Libraries Guide for HDL Designs UG768 (v 13.4) January 18, 2012 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely

More information

Discontinued IP. Verification

Discontinued IP. Verification 0 3GPP2 Turbo Decoder v2.1 DS275 February 15, 2007 0 0 Features Drop-in module for Spartan -3, Spartan-3E, Spartan-3A/3AN, Virtex -II, Virtex-II Pro, Virtex-4, and Virtex-5 FPGAs Implements the CDMA2000/3GPP2

More information

LogiCORE IP Block Memory Generator v7.1

LogiCORE IP Block Memory Generator v7.1 LogiCORE IP Block Memory Generator v7.1 DS512 April 24, 2012 Introduction The Xilinx LogiCORE IP Block Memory Generator (BMG) core is an advanced memory constructor that generates area and performance-optimized

More information

Applications. Discontinued IP SYST_A SYST_B RDY BLK_START BLK_END RFFD. Figure 1: Pinout

Applications. Discontinued IP SYST_A SYST_B RDY BLK_START BLK_END RFFD. Figure 1: Pinout DS525 April 24, 2009 Features Drop-in module for Spartan -6, Spartan-3E, Spartan-3A/3A/3A DSP, Spartan-3, Virtex -6, Virtex-5 and Virtex-4 FPGAs Implements the IEEE 802.16e Wireless MA OFDMA PHY CTC encoder

More information

7 Series FPGAs Memory Interface Solutions (v1.9)

7 Series FPGAs Memory Interface Solutions (v1.9) 7 Series FPGAs Memory Interface Solutions (v1.9) DS176 March 20, 2013 Introduction The Xilinx 7 series FPGAs memory interface solutions cores provide high-performance connections to DDR3 and DDR2 SDRAMs,

More information

ISim Hardware Co-Simulation Tutorial: Processing Live Ethernet Traffic through Virtex-5 Embedded Ethernet MAC

ISim Hardware Co-Simulation Tutorial: Processing Live Ethernet Traffic through Virtex-5 Embedded Ethernet MAC ISim Hardware Co-Simulation Tutorial: Processing Live Ethernet Traffic through Virtex-5 Embedded Ethernet MAC UG819 (v 13.1) March 18, 2011 Xilinx is disclosing this user guide, manual, release note, and/or

More information

Spartan-6 Libraries Guide for HDL Designs. UG615 (v 13.1) March 1, 2011

Spartan-6 Libraries Guide for HDL Designs. UG615 (v 13.1) March 1, 2011 Spartan-6 Libraries Guide for HDL Designs UG615 (v 13.1) March 1, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the

More information

Vivado Design Suite 7 Series FPGA Libraries Guide. UG953 (v ) July 25, 2012

Vivado Design Suite 7 Series FPGA Libraries Guide. UG953 (v ) July 25, 2012 Vivado Design Suite 7 Series FPGA Libraries Guide UG953 (v 2012.2) July 25, 2012 tice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and

More information

Xilinx 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide for HDL Designs

Xilinx 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide for HDL Designs Xilinx 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide for HDL Designs UG768 (v14.7) October 2, 2013 tice of Disclaimer The information disclosed to you hereunder (the "Materials") is

More information

Virtex-4 FPGA Embedded Processor Block with PowerPC 405 Processor (v2.01b)

Virtex-4 FPGA Embedded Processor Block with PowerPC 405 Processor (v2.01b) Virtex-4 FPGA Embedded Processor Block with PowerPC 405 Processor (v2.01b) DS306 April 24, 2009 ntroduction This document describes the wrapper for the Virtex -4 FPGA embedded processor block. For details

More information

Parameterizable LocalLink FIFO Author: Wen Ying Wei, Dai Huang

Parameterizable LocalLink FIFO Author: Wen Ying Wei, Dai Huang Application Note: Virtex-II and Virtex-II Pro Families XAPP691 (v1.0.1) May 10, 2007 R Parameterizable LocalLink FIFO Author: Wen Ying Wei, Dai Huang Summary This application note describes the implementation

More information

Supported Device Family (1) Supported User Interfaces. Simulation Model. Design Entry Tools. Simulation

Supported Device Family (1) Supported User Interfaces. Simulation Model. Design Entry Tools. Simulation LogiCORE IP Interleaver/De-Interleaver v6.0 DS250 March 1, 2011 Introduction The interleaver/de-interleaver core is appropriate for any application that requires data to be rearranged in an interleaved

More information

Virtual Input/Output v3.0

Virtual Input/Output v3.0 Virtual Input/Output v3.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

OPB General Purpose Input/Output (GPIO) (v3.01b)

OPB General Purpose Input/Output (GPIO) (v3.01b) 0 OPB General Purpose Input/Output (GPIO) (v3.01b) DS466 August 29, 2006 0 0 Introduction This document describes the specifications for the General Purpose Input/Output (GPIO) core for the On Chip Processor

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) DS799 June 22, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

DCR Interrupt Controller (v2.00a)

DCR Interrupt Controller (v2.00a) DS429 April 24, 2009 Introduction A DCR (Device Control Register Bus v29) Interrupt Controller (INTC) core is composed of a bus-centric wrapper containing the INTC core and a DCR interface. The INTC core

More information

Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh

Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh XAPP7 (v.2) July 2, 25 Application te: Virtex-4 Family Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh Summary This application note describes a dynamic phase alignment (DPA) application

More information

Discontinued IP. OPB General Purpose Input/Output (GPIO) (v3.01b) Introduction. Features. LogiCORE Facts

Discontinued IP. OPB General Purpose Input/Output (GPIO) (v3.01b) Introduction. Features. LogiCORE Facts 0 OPB General Purpose Input/Output (GPIO) (v3.01b) DS466 December 1, 2005 0 0 Introduction This document describes the specifications for the General Purpose Input/Output (GPIO) core for the On Chip Processor

More information

Discontinued IP. 3GPP2 Turbo Decoder v1.0. Features. Applications. General Description

Discontinued IP. 3GPP2 Turbo Decoder v1.0. Features. Applications. General Description 0 3GPP2 Turbo Decoder v1.0 DS275 April 28, 2005 0 0 Features Drop-in module for Spartan -3, Spartan-3E, Virtex -II and Virtex-II Pro FPGAs Implements the CDMA2000/3GPP2 specification[1] Core contains the

More information

LogiCORE IP Soft Error Mitigation Controller v1.1

LogiCORE IP Soft Error Mitigation Controller v1.1 LogiCORE IP Soft Error Mitigation Controller v1.1 DS796 September 21, 2010 Introduction The LogiCORE IP Soft Error Mitigation (SEM) Controller is an automatically configured, pre-verified solution to detect

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

OPB Universal Serial Bus 2.0 Device (v1.00a)

OPB Universal Serial Bus 2.0 Device (v1.00a) OPB Universal Serial Bus 2. Device (v1.a) DS591 May 1, 27 Introduction The Xilinx Universal Serial Bus 2. High Speed Device with On-chip Peripheral Bus (OPB) enables USB connectivity to the user s design

More information

Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Authors: Bill Wilkie and Beth Cowie

Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Authors: Bill Wilkie and Beth Cowie Application Note: All Virtex and Spartan FPGA Families XAPP551 (1.0) February 14, 2005 R Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Authors: Bill Wilkie and Beth Cowie Summary

More information

LogiCORE IP AXI DataMover v3.00a

LogiCORE IP AXI DataMover v3.00a LogiCORE IP AXI DataMover v3.00a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Operating System Requirements..................................................... 7 Feature

More information

LogiCORE IP Floating-Point Operator v6.2

LogiCORE IP Floating-Point Operator v6.2 LogiCORE IP Floating-Point Operator v6.2 Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Unsupported Features..............................................................

More information

Clock Correction Module

Clock Correction Module XTP037 (v1.0) March 10, 2009 Virtex-5 FPGA RocketIO GTX Transceiver Clock Correction Module Clock Correction Module Overview This document assumes familiarity with UG198,Virtex-5 FPGA RocketIO GTX Transceiver

More information

OPB to PLBV46 Bridge (v1.01a)

OPB to PLBV46 Bridge (v1.01a) 0 OPB to PLBV46 Bridge (v1.01a) DS726 April 24, 2009 0 0 Introduction The On-Chip Peripheral Bus (OPB) to Processor Local Bus (PLB v4.6) Bridge module translates OPB transactions into PLBV46 transactions.

More information

Spartan-6 Libraries Guide for HDL Designs. UG615 (v 14.1) April 24, 2012

Spartan-6 Libraries Guide for HDL Designs. UG615 (v 14.1) April 24, 2012 Spartan-6 Libraries Guide for HDL Designs UG615 (v 14.1) April 24, 2012 tice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) DS799 March 1, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

Minimizing Receiver Elastic Buffer Delay in the Virtex-II Pro RocketIO Transceiver Author: Jeremy Kowalczyk

Minimizing Receiver Elastic Buffer Delay in the Virtex-II Pro RocketIO Transceiver Author: Jeremy Kowalczyk XAPP670 (v.0) June 0, 2003 Application Note: Virtex-II Pro Family Minimizing eceiver Elastic Buffer Delay in the Virtex-II Pro ocketio Transceiver Author: Jeremy Kowalczyk Summary This application note

More information

Supported Device Family (1) Supported User Interfaces. Simulation Models Supported S/W Drivers. Simulation. Notes:

Supported Device Family (1) Supported User Interfaces. Simulation Models Supported S/W Drivers. Simulation. Notes: LogiCORE IP CPRI v8.5 Introduction The LogiCORE IP Common Public Radio Interface (CPRI ) core is a high-performance, low-cost flexible solution for implementation of the CPRI interface. The core can be

More information

Binary Counter v8.0. Features. Functional Description. Pinout. DS215 April 28, Product Specification

Binary Counter v8.0. Features. Functional Description. Pinout. DS215 April 28, Product Specification 0 Binary Counter v8.0 DS215 April 28, 2005 0 0 Features Drop-in module for Virtex, Virtex-E, Virtex-II, Virtex-II Pro, Virtex-4, Spartan -II, Spartan-IIE, Spartan-3, and Spartan-3E FPGAs Generates Up,

More information

Zynq-7000 Bus Functional Model

Zynq-7000 Bus Functional Model DS897 May 24, 2013 Introduction The Zynq -7000 Bus Functional Model (BFM) supports the functional simulation of Zynq-7000 based applications. It is targeted to enable the functional verification of Programmable

More information

Synthesis Options FPGA and ASIC Technology Comparison - 1

Synthesis Options FPGA and ASIC Technology Comparison - 1 Synthesis Options Comparison - 1 2009 Xilinx, Inc. All Rights Reserved Welcome If you are new to FPGA design, this module will help you synthesize your design properly These synthesis techniques promote

More information

Configurable LocalLink CRC Reference Design Author: Nanditha Jayarajan

Configurable LocalLink CRC Reference Design Author: Nanditha Jayarajan XAPP562 (v1.1.1) April 20, 2007 Application Note: Virtex Series and Virtex-II Family Configurable LocalLink CC eference Design Author: Nanditha Jayarajan Summary The Cyclic edundancy Check (CC) is a checksum

More information

Configurable LocalLink CRC Reference Design Author: Nanditha Jayarajan

Configurable LocalLink CRC Reference Design Author: Nanditha Jayarajan Application Note: Virtex Series and Virtex-II Family XAPP562 (v1.0) January 22, 2004 Configurable LocalLink eference Design Author: Nanditha Jayarajan Summary The Cyclic edundancy Check () is a powerful

More information

LogiCORE IP Initiator/Target v3.167 for PCI

LogiCORE IP Initiator/Target v3.167 for PCI LogiCORE IP Initiator/Target v3.167 for PCI User Guide R Xilinx is providing this product documentation, hereinafter Information, to you AS IS with no warranty of any kind, express or implied. Xilinx makes

More information

LogiCORE IP Serial RapidIO Gen2 v1.2

LogiCORE IP Serial RapidIO Gen2 v1.2 LogiCORE IP Serial RapidIO Gen2 v1.2 Product Guide Table of Contents Chapter 1: Overview System Overview............................................................ 5 Applications.................................................................

More information

LogiCORE IP Image Noise Reduction v2.0 Bit Accurate C Model

LogiCORE IP Image Noise Reduction v2.0 Bit Accurate C Model LogiCORE IP Image Noise Reduction v2.0 Bit Accurate C Model [Guide User Guide Subtitle] [optional] [optional] Xilinx is providing this product documentation, hereinafter Information, to you AS IS with

More information

LogiCORE IP CAN v3.2. Getting Started Guide. UG186 April 19, 2010

LogiCORE IP CAN v3.2. Getting Started Guide. UG186 April 19, 2010 LogiCORE IP CAN v3.2 Getting Started Guide Xilinx is providing this product documentation, hereinafter Information, to you AS IS with no warranty of any kind, express or implied. Xilinx makes no representation

More information

LogiCORE IP Fast Fourier Transform v8.0 Bit Accurate C Model

LogiCORE IP Fast Fourier Transform v8.0 Bit Accurate C Model LogiCORE IP Fast Fourier Transform v8.0 Bit Accurate C Model User Guide www.xilinx.com FFT v8.0 Bit Accurate C Model Xilinx is providing this product documentation, hereinafter Information, to you AS IS

More information

Spartan-3AN FPGA In-System Flash User Guide

Spartan-3AN FPGA In-System Flash User Guide Spartan-3AN FPGA In-System Flash User Guide For Spartan -3AN FPGA applications that read or write data to or from the In-System Flash memory after configuration R R Xilinx is disclosing this user guide,

More information

218 SystemVerilog Assertions Handbook, 4th Edition

218 SystemVerilog Assertions Handbook, 4th Edition 218 SystemVerilog Assertions Handbook, 4th Edition Synchronous FIFO to be used as an IP. FIFO management (e.g., push, pop, error handling) is external to the FIFO. SystemVerilog Assertions in the Design

More information

Benefits of Embedded RAM in FLEX 10K Devices

Benefits of Embedded RAM in FLEX 10K Devices Benefits of Embedded RAM in FLEX 1K Devices January 1996, ver. 1 Product Information Bulletin 2 Introduction Driven by the demand to integrate many more digital functions in a single device, custom logic

More information

Block RAM. Size. Ports. Virtex-4 and older: 18Kb Virtex-5 and newer: 36Kb, can function as two 18Kb blocks

Block RAM. Size. Ports. Virtex-4 and older: 18Kb Virtex-5 and newer: 36Kb, can function as two 18Kb blocks Block RAM Dedicated FPGA resource, separate columns from CLBs Designed to implement large (Kb) memories Multi-port capabilities Multi-clock capabilities FIFO capabilities Built-in error detection and correction

More information

Discontinued IP. OPB IIC Bus Interface (v1.01d) Introduction. Features. LogiCORE Facts

Discontinued IP. OPB IIC Bus Interface (v1.01d) Introduction. Features. LogiCORE Facts 0 OPB IIC Bus Interface (v1.01d) DS434 May 23, 2006 0 0 Introduction This product specification defines the architecture and interface requirements for OPB IIC module. This includes registers that must

More information

PowerPC Instruction Set Extension Guide

PowerPC Instruction Set Extension Guide PowerPC Instruction Set Extension Guide ISA Support for the PowerPC APU Controller in Virtex-4 EDK 6.3 November 4, 004 R PowerPC Instruction Set Extension Guide www.xilinx.com EDK 6.3 November 4, 004-800-55-7778

More information

Discontinued IP. OPB Arbiter (v1.02e) Introduction. Features. LogiCORE Facts

Discontinued IP. OPB Arbiter (v1.02e) Introduction. Features. LogiCORE Facts 0 DS469 September 23, 2005 0 0 Introduction The On-Chip Peripheral Bus (OPB) Arbiter design described in this document incorporates the features contained in the IBM On-chip Peripheral Bus Arbiter Core

More information

XPS UART Lite (v1.01a)

XPS UART Lite (v1.01a) 0 DS571 April 19, 2010 0 0 Introduction The XPS Universal Asynchronous Receiver Transmitter (UART) Lite Interface connects to the PLB (Processor Local Bus) and provides the controller interface for asynchronous

More information

LogiCORE IP AXI Video Direct Memory Access v4.00.a

LogiCORE IP AXI Video Direct Memory Access v4.00.a LogiCORE IP AXI Video Direct Memory Access v4.00.a Product Guide Table of Contents Chapter 1: Overview Feature Summary............................................................ 9 Applications................................................................

More information

Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Author: Michael Francis

Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Author: Michael Francis Application Note: All Virtex and Spartan FPGA Families XAPP551 (v2.) July 3, 21 Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Author: Michael Francis Summary Many digital communication

More information

Multiplier Generator V6.0. Features

Multiplier Generator V6.0. Features November 1, 2002 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Features Drop-in module for Virtex,

More information

Discontinued IP. LogiCORE IP Fibre Channel v3.5. Introduction. Features. DS270 April 19, LogiCORE IP Facts Core Specifics Supported Families 1

Discontinued IP. LogiCORE IP Fibre Channel v3.5. Introduction. Features. DS270 April 19, LogiCORE IP Facts Core Specifics Supported Families 1 DS270 April 19, 2010 Product Specification Introduction The LogiCORE IP Fibre Channel (FC) core provides a flexible core for use in any non-loop FC port and can run at 1, 2, and 4 Gbps. The FC core includes

More information

LogiCORE IP Initiator/Target v5.166 for PCI-X

LogiCORE IP Initiator/Target v5.166 for PCI-X LogiCORE IP Initiator/Target v5.166 for PCI-X Getting Started Guide R Xilinx is providing this product documentation, hereinafter Information, to you AS IS with no warranty of any kind, express or implied.

More information

LogiCORE IP 3GPP LTE Turbo Encoder v1.0 Bit-Accurate C Model. 3GPP LTE Turbo. [optional] UG490 (v1.0) April 25, 2008 [optional]

LogiCORE IP 3GPP LTE Turbo Encoder v1.0 Bit-Accurate C Model. 3GPP LTE Turbo. [optional] UG490 (v1.0) April 25, 2008 [optional] LogiCORE IP 3GPP LTE Turbo Encoder v1.0 Bit-Accurate C Model LogiCORE IP 3GPP LTE Turbo Encoder v1.0 [Guide User Guide Subtitle] [optional] [optional] R R Xilinx is disclosing this user guide, manual,

More information

Designing with ESBs in APEX II Devices

Designing with ESBs in APEX II Devices Designing with ESBs in APEX II Devices March 2002, ver. 1.0 Application Note 179 Introduction In APEX TM II devices, enhanced embedded system blocks (ESBs) support memory structures, such as single-port

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_PIPE) Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com Core Facts Documentation

More information

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011 ISE Simulator (ISim) In-Depth Tutorial Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate

More information

LogiCORE IP AXI DMA (v4.00.a)

LogiCORE IP AXI DMA (v4.00.a) DS781 June 22, 2011 Introduction The AXI Direct Memory Access (AXI DMA) core is a soft Xilinx IP core for use with the Xilinx Embedded Development Kit (EDK). The AXI DMA engine provides high-bandwidth

More information

AccelDSP Synthesis Tool

AccelDSP Synthesis Tool AccelDSP Synthesis Tool Release Notes R R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate on, or interface

More information

Using DCFIFO for Data Transfer between Asynchronous Clock Domains

Using DCFIFO for Data Transfer between Asynchronous Clock Domains Using DCFIFO for Data Transfer between Asynchronous Clock Domains, version 1.0 Application Note 473 Introduction In the design world, there are very few designs with a single clock domain. With increasingly

More information

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation UG817 (v 13.2) July 28, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification

More information

HB0379 CoreFIFO v2.6 Handbook

HB0379 CoreFIFO v2.6 Handbook HB0379 CoreFIFO v2.6 Handbook 02 2017 Microsemi makes no warranty, representation, or guarantee regarding the information contained herein or the suitability of its products and services for any particular

More information

FFT/IFFTProcessor IP Core Datasheet

FFT/IFFTProcessor IP Core Datasheet System-on-Chip engineering FFT/IFFTProcessor IP Core Datasheet - Released - Core:120801 Doc: 130107 This page has been intentionally left blank ii Copyright reminder Copyright c 2012 by System-on-Chip

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v2.00.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v2.00.a) DS799 December 14, 2010 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v2.00.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx

More information

LogiCORE IP SPI-4.2 v12.2

LogiCORE IP SPI-4.2 v12.2 DS823 July 25, 2012 Introduction The Xilinx SPI-4.2 (PL4) core implements and is compliant with the OIF-SPI4-02.1 System Packet Phase 2 standard. This fully verified solution interconnects physical-layer

More information

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point FFT Simulation UG817 (v13.3) November 11, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation

More information

UltraScale Architecture Integrated IP Core for Interlaken v1.3

UltraScale Architecture Integrated IP Core for Interlaken v1.3 UltraScale Architecture Integrated IP Core for Interlaken v1.3 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

LogiCORE IP AXI DMA (v3.00a)

LogiCORE IP AXI DMA (v3.00a) DS781 March 1, 2011 Introduction The AXI Direct Memory Access (AXI DMA) core is a soft Xilinx IP core for use with the Xilinx Embedded Development Kit (EDK). The AXI DMA engine provides high-bandwidth

More information

LogiCORE IP AXI Video Direct Memory Access v5.00.a

LogiCORE IP AXI Video Direct Memory Access v5.00.a LogiCORE IP AXI Video Direct Memory Access v5.00.a Product Guide Table of Contents Chapter 1: Overview Feature Summary............................................................ 9 Applications................................................................

More information

LogiCORE IP LTE RACH Detector v1.0

LogiCORE IP LTE RACH Detector v1.0 LogiCORE IP LTE RACH Detector v1.0 C Model User Guide Xilinx is providing this product documentation, hereinafter Information, to you AS IS with no warranty of any kind, express or implied. Xilinx makes

More information

Sine/Cosine Look-Up Table v4.2. Features. Functional Description. θ = THETA radians 2 2 OUTPUT_WIDTH-1 OUTPUT_WIDTH-1

Sine/Cosine Look-Up Table v4.2. Features. Functional Description. θ = THETA radians 2 2 OUTPUT_WIDTH-1 OUTPUT_WIDTH-1 November 1, 2002 Product Specification Xilinx Inc. 20 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Features Drop-in

More information