Intro to UPPAAL. Gerd Behrmann Kim Larsen. BRICS & Aalborg University. Intro to UPPAAL p.1/23

Size: px
Start display at page:

Download "Intro to UPPAAL. Gerd Behrmann Kim Larsen. BRICS & Aalborg University. Intro to UPPAAL p.1/23"

Transcription

1 Intro to UPPAAL p.1/23 Intro to UPPAAL Gerd Behrmann Kim Larsen BRICS & Aalborg University

2 Intro to UPPAAL p.2/23 Plan of the Lecture 1. UPPAAL Architecture 2. UPPAAL Features 3. Train Gate Example 4. Demonstration of Uppaal

3 UPPAAL s Architecture Intro to UPPAAL p.3/23

4 Short view of UPPAAL Intro to UPPAAL p.4/23

5 Intro to UPPAAL p.5/23 Declarations Clocks clock x1, x2,...,xn; Bounded Integer Variables int[0,5] i1, i2,... in; Constants const delay 5, true 1, false 0; Arrays int x[4] := { 1, 4, 7, 2 }

6 Expressions Expression ::= ID NAT Expression [ Expression ] ( Expression ) Expression Expression Expression Expression Expression AssignOp Expression UnaryOp Expression Expression BinOp Expression Expression? Expression : Expressio ID. ID Intro to UPPAAL p.6/23

7 Intro to UPPAAL p.7/23 Operators Unary -! not Binary < <= ==!= >= > + - * / % & ˆ << >> && and or imply Assignment := += -= *= /= %= = &= ˆ= <<= >>=

8 Intro to UPPAAL p.8/23 Guards Any expression satisfying the following conditions is a guard: It is side effect free, type correct and evaluates to a boolean. Only clock variables, integer variables and constants are referenced (or arrays of these types). Clocks and differences between clocks are only compared to integer expressions (no inequallity). Guards over clocks are essentially conjunctions (i.e. disjunctions are only allowed over integer conditions).

9 Intro to UPPAAL p.9/23 Assignments Any expression satisfying the following conditions is an assignment: It has a side effect and is type correct. Only clock variables, integer variables and constants are referenced (or arrays of these types). Only integers are assigned to clocks.

10 Intro to UPPAAL p.10/23 Invariants Any expression satisfying the following conditions is an invariant: It is side effect free and is type correct. Only clock variables, integer variavles and constants are referenced (or arrays of these types). It forms a conjunction of conditions on the form x < e or x <= e, where x is a clock reference and e evaluates to an integer.

11 Intro to UPPAAL p.11/23 Binary Synchronisation Channels can be declared like: chan a, b, c[3]; If a is channel, then: a! = Emission a? = Reception Two edges in different processes can synchronise if one is emitting and the other is receiving on the same channel.

12 Broascast Synchronization Broadcast channels can be de declared like: broadcast chan a, b, c[2]; If a is a broadcast channel, then: a! = Emission of a broadcast a? = Reception of a broadcast A set of edges in different processes can synchronise if one is emitting and the others are receiving on the same broadcast channel. A process can always emit on a broadcast channel. Intro to UPPAAL p.12/23

13 Intro to UPPAAL p.13/23 Urgent Channels No delay if the synchronising edges can be taken! Restriction: No clock guard allowed on the edges. Allowed: Guards on data-variables. Urgent channels can be declared like: urgent chan a,b,c[3];

14 Intro to UPPAAL p.14/23 Urgent Location No delay in urgent location! Time is freezed. Remark: The use of the urgent location reduces the number of clocks in a model, and thus the complexity of the analysis.

15 Intro to UPPAAL p.15/23 Committed Location No delay pass in committed location! Next transition must involve an edge in one of the processes in a committed location. Remark: The use of the committed location considerably reduces the state space.

16 Intro to UPPAAL p.16/23 Templates Templates can be instantiated to form processes. Templates are parameterised. Example of parameter declaration of a template A: (int v, const min, const max) Example of instantiation: P := A(i, 1, 5); Q := A(j, 0, 4); Example of system declaration: system P, Q;

17 Syntax of Properties A[] Expression E<> Expression A<> Expression E[] Expression Expression --> Expression A[] not deadlock The expressions must be type safe, side effect free, and evaluate to a boolean. Only references to integers variables, constants, clocks, and locations are allowed (and arrays of these). Intro to UPPAAL p.17/23

18 Intro to UPPAAL p.18/23 Operators A[] and A<> A[] A<>

19 Intro to UPPAAL p.19/23 Operators E[] and E<> E[] E<> Remark (A[])=E<>( ) and (E[])=A<>( )

20 Intro to UPPAAL p.20/23 Operator --> (leads to) -->ψ def A[]( A<>ψ) ψ ψ

21 Intro to UPPAAL p.21/23 State Property: deadlock A deadlock is a state in which no action transition will ever be enabled again. In other words (l, u) = deadlock iff: d 0, a Act : (l, u + d) a Checking for absence of deadlocks: A[] not deadlock

22 Intro to UPPAAL p.22/23 Bounded Liveness: Decoration AG(a = t b) A[] (B implies x <= t)

23 Intro to UPPAAL p.23/23 Test Automata AG(a = t b) A[] (not T.BAD)

Temporal Logic and Timed Automata

Temporal Logic and Timed Automata Information Systems Analysis Temporal Logic and Timed Automata (5) UPPAAL timed automata Paweł Głuchowski, Wrocław University of Technology version 2.3 Contents of the lecture Tools for automatic verification

More information

Modeling and Analysis of Networked Embedded Systems using UPPAAL. Ezio Bartocci

Modeling and Analysis of Networked Embedded Systems using UPPAAL. Ezio Bartocci Modeling and Analysis of Networked Embedded Systems using UPPAAL Ezio Bartocci Overview Timed Automata in UPPAAL UPPAAL modeling language Declara5ons in UPPAAL Templates in UPPAAL Urgent Channels Broadcast

More information

An Introduction to UPPAAL. Purandar Bhaduri Dept. of CSE IIT Guwahati

An Introduction to UPPAAL. Purandar Bhaduri Dept. of CSE IIT Guwahati An Introduction to UPPAAL Purandar Bhaduri Dept. of CSE IIT Guwahati Email: pbhaduri@iitg.ernet.in OUTLINE Introduction Timed Automata UPPAAL Example: Train Gate Example: Task Scheduling Introduction UPPAAL:

More information

The UPPAAL Model Checker. Julián Proenza Systems, Robotics and Vision Group. UIB. SPAIN

The UPPAAL Model Checker. Julián Proenza Systems, Robotics and Vision Group. UIB. SPAIN The UPPAAL Model Checker Julián Proenza Systems, Robotics and Vision Group. UIB. SPAIN The aim of this presentation Introduce the basic concepts of model checking from a practical perspective Describe

More information

COMP 763. Eugene Syriani. Ph.D. Student in the Modelling, Simulation and Design Lab School of Computer Science. McGill University

COMP 763. Eugene Syriani. Ph.D. Student in the Modelling, Simulation and Design Lab School of Computer Science. McGill University Eugene Syriani Ph.D. Student in the Modelling, Simulation and Design Lab School of Computer Science McGill University 1 OVERVIEW In the context In Theory: Timed Automata The language: Definitions and Semantics

More information

Verification Options. To Store Or Not To Store? Inside the UPPAAL tool. Inactive (passive) Clock Reduction. Global Reduction

Verification Options. To Store Or Not To Store? Inside the UPPAAL tool. Inactive (passive) Clock Reduction. Global Reduction Inside the UPPAAL tool Data Structures DBM s (Difference Bounds Matrices) Canonical and Minimal Constraints Algorithms Reachability analysis Liveness checking Termination Verification Otions Verification

More information

want turn==me wait req2==0

want turn==me wait req2==0 Uppaal2k: Small Tutorial Λ 16 October 2002 1 Introduction This document is intended to be used by new comers to Uppaal and verification. Students or engineers with little background in formal methods should

More information

A Tutorial on Uppaal

A Tutorial on Uppaal A Tutorial on Uppaal Updated 25th October 2005 Gerd Behrmann, Alexandre David, and Kim G. Larsen Department of Computer Science, Aalborg University, Denmark {behrmann,adavid,kgl}@cs.auc.dk. Abstract. This

More information

UPPAAL. Verification Engine, Options & Patterns. Alexandre David

UPPAAL. Verification Engine, Options & Patterns. Alexandre David UPPAAL Verification Engine, Options & Patterns Alexandre David 1.2.05 Outline UPPAAL Modelling Language Specification Language UPPAAL Verification Engine Symbolic exploration algorithm Zones & DBMs Verification

More information

MANY real-time applications need to store some data

MANY real-time applications need to store some data Proceedings of the International Multiconference on Computer Science and Information Technology pp. 673 678 ISBN 978-83-60810-14-9 ISSN 1896-7094 Modeling Real-Time Database Concurrency Control Protocol

More information

To Store or Not To Store

To Store or Not To Store To Store or Not To Store Radek Pelánek Masaryk University, Brno Gerd Behrmann, Kim G. Larsen Aalborg University To Store or Not To Store p.1/24 Reachability Problem Model: networks of timed automata with

More information

Overview of Timed Automata and UPPAAL

Overview of Timed Automata and UPPAAL Overview of Timed Automata and UPPAAL Table of Contents Timed Automata Introduction Example The Query Language UPPAAL Introduction Example Editor Simulator Verifier Conclusions 2 Introduction to Timed

More information

Further Topics in Modelling & Verification

Further Topics in Modelling & Verification Further Topics in Modelling & Verification Thursday Oct 09, 2014 Philipp Rümmer Uppsala University Philipp.Ruemmer@it.uu.se 1/34 Recap: Timed automata (TA) 2/34 Recap: Properties 3/34 Questions about TA

More information

Modeling and Verification of Priority Assignment in Real-Time Databases Using Uppaal

Modeling and Verification of Priority Assignment in Real-Time Databases Using Uppaal Modeling and Verification of Priority Assignment in Real-Time Databases Using Uppaal Martin Kot Martin Kot Center for Applied Cybernetics, Department of Computer Science, FEI, Center for Applied VSBCybernetics,

More information

Verification of UML Statechart with Real-time Extensions

Verification of UML Statechart with Real-time Extensions Verification of UML Statechart with Real-time Extensions Alexandre David M. Oliver Möller Wang Yi Department of Information Technology Uppsala University Box 337, SE-751 05 Uppsala, Sweden Technical report

More information

Tool Support for Transforming UML-based Specifications into UPPAAL Timed Automata

Tool Support for Transforming UML-based Specifications into UPPAAL Timed Automata Mehdi Nobakht Dragos Truscan Tool Support for Transforming UML-based Specifications into UPPAAL Timed Automata TUCS Technical Report No 1087, June 2013 Tool Support for Transforming UML-based Specifications

More information

Seamless Formal Verification of Complex Event Processing Applications

Seamless Formal Verification of Complex Event Processing Applications Seamless Formal Verification of Complex Event Processing Applications AnnMarie Ericsson School of Humanities and Informatics University of Skövde, Sweden annmarie.ericsson@his.se Paul Pettersson Department

More information

A Test Case Generation Algorithm for Real-Time Systems

A Test Case Generation Algorithm for Real-Time Systems A Test Case Generation Algorithm for Real-Time Systems Anders Hessel and Paul Pettersson Department of Information Technology Uppsala University, P.O. Box 337 SE-751 05 Uppsala, Sweden {hessel,paupet}@it.uu.se

More information

Formal modelling and verification in UPPAAL

Formal modelling and verification in UPPAAL Budapest University of Technology and Economics Department of Measurement and Information Systems Fault Tolerant Systems Research Group Critical Embedded Systems Formal modelling and verification in UPPAAL

More information

MODEL-BASED DESIGN OF CODE FOR PLC CONTROLLERS

MODEL-BASED DESIGN OF CODE FOR PLC CONTROLLERS Krzysztof Sacha Warsaw University of Technology, Nowowiejska 15/19, 00-665 Warszawa, Poland k.sacha@ia.pw.edu.pl Keywords: Abstract: Automatic program generation, Model verification, Finite state machine,

More information

Model checking and timed CTL

Model checking and timed CTL Chapter 6 Model checking and timed CTL Ah! What did I tell you? 88 miles per hour! The temporal displacement occurred at exactly 1:20am and *zero* seconds! [Dr Emmett Brown] 6.1 Timed CTL Page 86 Formal

More information

2 after reception of a message from the sender, do one of two things: either the message is delivered to the receiver, or it is lost. The loss of a me

2 after reception of a message from the sender, do one of two things: either the message is delivered to the receiver, or it is lost. The loss of a me Protocol Verification using UPPAAL: Exercises? Lab assistant: Alexandre David Department of Computer Systems (room 1237, mailbox 26), Uppsala University, Box 325, S751 05, Uppsala. Phone: 018-18 73 41.

More information

UPPAAL in a nutshell. Key words: Modeling real-time systems Dynamic modeling Modeling tools Uppaal. 1 Introduction

UPPAAL in a nutshell. Key words: Modeling real-time systems Dynamic modeling Modeling tools Uppaal. 1 Introduction Int J STTT (1997) 1: 134 152 1997 Springer-Verlag UPPAAL in a nutshell Kim G. Larsen 1,PaulPettersson 2,WangYi 2 1 Department of Computer Science and Mathematics, Aalborg University, Denmark; E-mail: kgl@cs.auc.dk

More information

CS4411 Intro. to Operating Systems Exam 1 Fall points 10 pages

CS4411 Intro. to Operating Systems Exam 1 Fall points 10 pages CS4411 Intro. to Operating Systems Exam 1 Fall 2005 (October 6, 2005) 1 CS4411 Intro. to Operating Systems Exam 1 Fall 2005 150 points 10 pages Name: Most of the following questions only require very short

More information

Formal Throughput and Response Time Analysis of MARTE Models

Formal Throughput and Response Time Analysis of MARTE Models Formal Throughput and Response Time Analysis of MARTE Models Gaogao Yan, Xue-Yang Zhu, Rongjie Yan, and Guangyuan Li State Key Laboratory of Computer Science, Institute of Software, Chinese Academy of

More information

Retiming. Adapted from: Synthesis and Optimization of Digital Circuits, G. De Micheli Stanford. Outline. Structural optimization methods. Retiming.

Retiming. Adapted from: Synthesis and Optimization of Digital Circuits, G. De Micheli Stanford. Outline. Structural optimization methods. Retiming. Retiming Adapted from: Synthesis and Optimization of Digital Circuits, G. De Micheli Stanford Outline Structural optimization methods. Retiming. Modeling. Retiming for minimum delay. Retiming for minimum

More information

Fachgebiet Softwaretechnik, Heinz Nixdorf Institut, Universität Paderborn. 2.3 Timed Automata and Real-Time Statecharts

Fachgebiet Softwaretechnik, Heinz Nixdorf Institut, Universität Paderborn. 2.3 Timed Automata and Real-Time Statecharts 2.3 Timed Automata and Real-Time Statecharts Develop a BOOK RATING APP and win awesome prizes! The creators of the best submissions will be invited to an exclusive party in February

More information

CA4003 Compiler Construction Assignment Language Definition

CA4003 Compiler Construction Assignment Language Definition CA4003 Compiler Construction Assignment Language Definition David Sinclair 2017-2018 1 Overview The language is not case sensitive. A nonterminal, X, is represented by enclosing it in angle brackets, e.g.

More information

Modeling and Analysis of Fischer s Algorithm

Modeling and Analysis of Fischer s Algorithm Processes and Data, Department of Computer Science, Swansea University Vino - July 2011 Today s Talk 1. Mutual Exclusion Algorithms (recap) 2. Fischer s Algorithm 3. Modeling Fischer s Algorithm 4. Analysis

More information

Warm-Up Problem. Let L be the language consisting of as constant symbols, as a function symbol and as a predicate symbol. Give an interpretation where

Warm-Up Problem. Let L be the language consisting of as constant symbols, as a function symbol and as a predicate symbol. Give an interpretation where Warm-Up Problem Let L be the language consisting of as constant symbols, as a function symbol and as a predicate symbol Give an interpretation where is false Use a finite domain in your interpretation

More information

Mixed Integer Linear Programming

Mixed Integer Linear Programming Mixed Integer Linear Programming Part I Prof. Davide M. Raimondo A linear program.. A linear program.. A linear program.. Does not take into account possible fixed costs related to the acquisition of new

More information

More on Verification and Model Checking

More on Verification and Model Checking More on Verification and Model Checking Wednesday Oct 07, 2015 Philipp Rümmer Uppsala University Philipp.Ruemmer@it.uu.se 1/60 Course fair! 2/60 Exam st October 21, 8:00 13:00 If you want to participate,

More information

Mechanising the Validation of ERTMS Requirements and New Procedures.

Mechanising the Validation of ERTMS Requirements and New Procedures. Mechanising the Validation of ERTMS Requirements and New Procedures. Ángel Herranz Guillem Marpons Clara Benac Julio Mariño Babel Group, Universidad Politécnica de Madrid {aherranz,gmarpons,cbenac,jmarino}@fi.upm.es

More information

Software Engineering using Formal Methods

Software Engineering using Formal Methods Software Engineering using Formal Methods Introduction to Promela Wolfgang Ahrendt 03 September 2015 SEFM: Promela /GU 150903 1 / 36 Towards Model Checking System Model Promela Program byte n = 0; active

More information

Directed Model Checking for PROMELA with Relaxation-Based Distance Functions

Directed Model Checking for PROMELA with Relaxation-Based Distance Functions Directed Model Checking for PROMELA with Relaxation-Based Distance Functions Ahmad Siyar Andisha and Martin Wehrle 2 and Bernd Westphal Albert-Ludwigs-Universität Freiburg, Germany {andishaa,westphal}@informatik.uni-freiburg.de

More information

Building Graphical Promela Models using UPPAAL GUI

Building Graphical Promela Models using UPPAAL GUI Building Graphical Promela Models using UPPAAL GUI Master s Thesis Report by Vasu Hossaholal Lingegowda Software Systems Engineering Group: B2-201 under the guidance of Dr. Alexandre David Department of

More information

Lecture 2. The SCADE Language Data Flow Kernel. Daniel Kästner AbsInt GmbH 2012

Lecture 2. The SCADE Language Data Flow Kernel. Daniel Kästner AbsInt GmbH 2012 Lecture 2 The SCADE Language Data Flow Kernel Daniel Kästner AbsInt GmbH 2012 2 Synchronous Programming Two simple ways of implementing reactive systems: Event-driven Foreach input_event

More information

UPPAAL. Validation and Verication of Real Time Systems. Status & Developments y. Abstract

UPPAAL. Validation and Verication of Real Time Systems. Status & Developments y. Abstract UPPAAL Validation and Verication of Real Time Systems Status & Developments y Kim G Larsen z Paul Pettersson x Wang Yi x Abstract Uppaal is a tool box for validation (via graphical simulation) and verication

More information

ECE 122. Engineering Problem Solving with Java

ECE 122. Engineering Problem Solving with Java ECE 122 Engineering Problem Solving with Java Lecture 10 For Loops and Arrays Outline Problem: How can I perform the same operations a fixed number of times? Considering for loops Performs same operations

More information

Software Engineering using Formal Methods

Software Engineering using Formal Methods Software Engineering using Formal Methods Introduction to Promela Wolfgang Ahrendt & Richard Bubel & Reiner Hähnle & Wojciech Mostowski 31 August 2011 SEFM: Promela /GU 110831 1 / 35 Towards Model Checking

More information

Tool support for verifying trace inclusion with Uppaal

Tool support for verifying trace inclusion with Uppaal Tool support for verifying trace inclusion with Uppaal T. Bourke tbourke@cse.unsw.edu.au NICTA and University of New South Wales A. Sowmya sowmya@cse.unsw.edu.au University of New South Wales Technical

More information

Lecture 4 Searching Arrays

Lecture 4 Searching Arrays Lecture 4 Searching Arrays 15-122: Principles of Imperative Computation (Spring 2018) Frank Pfenning One of the fundamental and recurring problems in computer science is to find elements in collections,

More information

Real Time Model Checking

Real Time Model Checking Real Time Model Checking using UPPAAL Kim G Larsen Collaborators @UPPsala Wang Yi Paul Pettersson John Håkansson Anders Hessel Pavel Krcal Leonid Mokrushin Shi Xiaochun @Elsewhere @AALborg Kim G Larsen

More information

Lecture Notes on Linear Search

Lecture Notes on Linear Search Lecture Notes on Linear Search 15-122: Principles of Imperative Computation Frank Pfenning Lecture 5 January 28, 2014 1 Introduction One of the fundamental and recurring problems in computer science is

More information

A Formalization of Global Simulation Models for Continuous/Discrete Systems

A Formalization of Global Simulation Models for Continuous/Discrete Systems A Formalization of Global Simulation Models for Continuous/Discrete Systems L. Gheorghe, F. Bouchhima, G. Nicolescu, H. Boucheneb Ecole Polytechnique Montréal luiza.gheorghe@polymtl.ca Keywords: Co-Simulation,

More information

Introduction to Programming (Java) 2/12

Introduction to Programming (Java) 2/12 Introduction to Programming (Java) 2/12 Michal Krátký Department of Computer Science Technical University of Ostrava Introduction to Programming (Java) 2008/2009 c 2006 2008 Michal Krátký Introduction

More information

TSIF: Transition System Interchange Format

TSIF: Transition System Interchange Format TSIF: Transition System Interchange Format E. Pastor and M.A. Peña Jan 2004 Department of Computer Architecture Technical University of Catalonia (UPC) Barcelona, Spain Modeling Transition System A model

More information

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1 HIERARCHICAL DESIGN Chapter 13 1 Outline 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design Outline HIERARCHICAL DESIGN 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 1 Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Introduction 2 The first synchronous language (early 80 s) Gérard Berry and his team (École des Mines de Paris / INRIA Sophia-Antipolis) Imperative, s

Introduction 2 The first synchronous language (early 80 s) Gérard Berry and his team (École des Mines de Paris / INRIA Sophia-Antipolis) Imperative, s Pascal Raymond, Verimag-CNRS Introduction 2 The first synchronous language (early 80 s) Gérard Berry and his team (École des Mines de Paris / INRIA Sophia-Antipolis) Imperative, sequential style (i.e.

More information

Verification of UML State Diagrams using a Model Checker

Verification of UML State Diagrams using a Model Checker Verification of UML State Diagrams using a Model Checker A Manuscript Submitted to the Department of Computer Science and the Faculty of the University of Wisconsin-La Crosse La Crosse, Wisconsin by Yiwei

More information

Softwaretechnik. Program verification. Software Engineering Albert-Ludwigs-University Freiburg. June 30, 2011

Softwaretechnik. Program verification. Software Engineering Albert-Ludwigs-University Freiburg. June 30, 2011 Softwaretechnik Program verification Software Engineering Albert-Ludwigs-University Freiburg June 30, 2011 (Software Engineering) Softwaretechnik June 30, 2011 1 / 28 Road Map Program verification Automatic

More information

Computer Lab 1: Model Checking and Logic Synthesis using Spin (lab)

Computer Lab 1: Model Checking and Logic Synthesis using Spin (lab) Computer Lab 1: Model Checking and Logic Synthesis using Spin (lab) Richard M. Murray Nok Wongpiromsarn Ufuk Topcu Calornia Institute of Technology AFRL, 25 April 2012 Outline Spin model checker: modeling

More information

Basic Research in Computer Science BRICS RS-99-8 Havelund et al.: Formal Verification of a Power Controller Using UPPAAL

Basic Research in Computer Science BRICS RS-99-8 Havelund et al.: Formal Verification of a Power Controller Using UPPAAL BRICS Basic Research in Computer Science BRICS RS-99-8 Havelund et al.: Formal Verification of a Power Controller Using UPPAAL Formal Verification of a Power Controller Using the Real-Time Model Checker

More information

Le L c e t c ur u e e 2 To T p o i p c i s c t o o b e b e co c v o e v r e ed e Variables Operators

Le L c e t c ur u e e 2 To T p o i p c i s c t o o b e b e co c v o e v r e ed e Variables Operators Course Name: Advanced Java Lecture 2 Topics to be covered Variables Operators Variables -Introduction A variables can be considered as a name given to the location in memory where values are stored. One

More information

Distributed parameter sweep for U PPAAL models

Distributed parameter sweep for U PPAAL models Distributed parameter sweep for U PPAAL models M ASTER S THESIS, SPRING 2011 P ETER S CHMIDT F REIBERG J IMMY M ERRILD K RAG B RIAN V ILLUMSEN D EPARTMENT OF C OMPUTER S CIENCE A ALBORG U NIVERSITY J UNE

More information

Promela and SPIN. Mads Dam Dept. Microelectronics and Information Technology Royal Institute of Technology, KTH. Promela and SPIN

Promela and SPIN. Mads Dam Dept. Microelectronics and Information Technology Royal Institute of Technology, KTH. Promela and SPIN Promela and SPIN Mads Dam Dept. Microelectronics and Information Technology Royal Institute of Technology, KTH Promela and SPIN Promela (Protocol Meta Language): Language for modelling discrete, event-driven

More information

A Tool for Automatic Model Extraction of Ada/SPARK Programs

A Tool for Automatic Model Extraction of Ada/SPARK Programs A Tool for Automatic Model Extraction of Ada/SPARK Programs Nuno Silva Nelma Moreira Simão Melo de Sousa Sabine Broda Technical Report Series: DCC-2011-2 Version 1.0 October 2011 Departamento de Ciência

More information

Formal Specification and Verification

Formal Specification and Verification Formal Specification and Verification Introduction to Promela Bernhard Beckert Based on a lecture by Wolfgang Ahrendt and Reiner Hähnle at Chalmers University, Göteborg Formal Specification and Verification:

More information

The syntax of the OUN language

The syntax of the OUN language The syntax of the OUN language Olaf Owe Department of Informatics, University of Oslo, Norway February 21, 2002 Contents 1 The OUN language 1 1.1 Interface and contract definition.................. 2 1.2

More information

CS313D: ADVANCED PROGRAMMING LANGUAGE

CS313D: ADVANCED PROGRAMMING LANGUAGE CS313D: ADVANCED PROGRAMMING LANGUAGE Computer Science department Lecture 2 : C# Language Basics Lecture Contents 2 The C# language First program Variables and constants Input/output Expressions and casting

More information

Lesson 06 Arrays. MIT 11053, Fundamentals of Programming By: S. Sabraz Nawaz Senior Lecturer in MIT Department of MIT FMC, SEUSL

Lesson 06 Arrays. MIT 11053, Fundamentals of Programming By: S. Sabraz Nawaz Senior Lecturer in MIT Department of MIT FMC, SEUSL Lesson 06 Arrays MIT 11053, Fundamentals of Programming By: S. Sabraz Nawaz Senior Lecturer in MIT Department of MIT FMC, SEUSL Array An array is a group of variables (called elements or components) containing

More information

Formal Verification for UML/SysML models

Formal Verification for UML/SysML models Formal Verification for UML/SysML models IBM Research Lab - Haifa Content Formal verification v.s. testing Correctness properties Formal verification for Rhapsody models 2 Formal Verification Desired Properties

More information

8.1 Polynomial-Time Reductions

8.1 Polynomial-Time Reductions 8.1 Polynomial-Time Reductions Classify Problems According to Computational Requirements Q. Which problems will we be able to solve in practice? A working definition. Those with polynomial-time algorithms.

More information

The SPIN Model Checker

The SPIN Model Checker The SPIN Model Checker Metodi di Verifica del Software Andrea Corradini Lezione 1 2013 Slides liberamente adattate da Logic Model Checking, per gentile concessione di Gerard J. Holzmann http://spinroot.com/spin/doc/course/

More information

Languages and Compiler Design II IR Code Generation I

Languages and Compiler Design II IR Code Generation I Languages and Compiler Design II IR Code Generation I Material provided by Prof. Jingke Li Stolen with pride and modified by Herb Mayer PSU Spring 2010 rev.: 4/16/2010 PSU CS322 HM 1 Agenda Grammar G1

More information

Models of concurrency & synchronization algorithms

Models of concurrency & synchronization algorithms Models of concurrency & synchronization algorithms Lecture 3 of TDA383/DIT390 (Concurrent Programming) Carlo A. Furia Chalmers University of Technology University of Gothenburg SP3 2016/2017 Today s menu

More information

AP COMPUTER SCIENCE JAVA CONCEPTS IV: RESERVED WORDS

AP COMPUTER SCIENCE JAVA CONCEPTS IV: RESERVED WORDS AP COMPUTER SCIENCE JAVA CONCEPTS IV: RESERVED WORDS PAUL L. BAILEY Abstract. This documents amalgamates various descriptions found on the internet, mostly from Oracle or Wikipedia. Very little of this

More information

Structure of Abstract Syntax trees for Colored Nets in PNML

Structure of Abstract Syntax trees for Colored Nets in PNML Structure of Abstract Syntax trees for Colored Nets in PNML F. Kordon & L. Petrucci Fabrice.Kordon@lip6.fr Laure.Petrucci@lipn.univ-paris13.fr version 0.2 (draft) June 26, 2004 Abstract Formalising the

More information

MATVEC: MATRIX-VECTOR COMPUTATION LANGUAGE REFERENCE MANUAL. John C. Murphy jcm2105 Programming Languages and Translators Professor Stephen Edwards

MATVEC: MATRIX-VECTOR COMPUTATION LANGUAGE REFERENCE MANUAL. John C. Murphy jcm2105 Programming Languages and Translators Professor Stephen Edwards MATVEC: MATRIX-VECTOR COMPUTATION LANGUAGE REFERENCE MANUAL John C. Murphy jcm2105 Programming Languages and Translators Professor Stephen Edwards Language Reference Manual Introduction The purpose of

More information

Programming Languages. Programming with λ-calculus. Lecture 11: Type Systems. Special Hour to discuss HW? if-then-else int

Programming Languages. Programming with λ-calculus. Lecture 11: Type Systems. Special Hour to discuss HW? if-then-else int CSE 230: Winter 2010 Principles of Programming Languages Lecture 11: Type Systems News New HW up soon Special Hour to discuss HW? Ranjit Jhala UC San Diego Programming with λ-calculus Encode: bool if-then-else

More information

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified.

In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. 1 In this lecture, we will go beyond the basic Verilog syntax and examine how flipflops and other clocked circuits are specified. I will also introduce the idea of a testbench as part of a design specification.

More information

The SPL Programming Language Reference Manual

The SPL Programming Language Reference Manual The SPL Programming Language Reference Manual Leonidas Fegaras University of Texas at Arlington Arlington, TX 76019 fegaras@cse.uta.edu February 27, 2018 1 Introduction The SPL language is a Small Programming

More information

Exam 2. CSC 121 MW Class. Lecturer: Howard Rosenthal. April 25, 2016

Exam 2. CSC 121 MW Class. Lecturer: Howard Rosenthal. April 25, 2016 Your Name: Exam 2. CSC 121 MW Class Lecturer: Howard Rosenthal April 25, 2016 The following questions (or parts of questions) in numbers 1-7 are all worth 3 points each. 1. Answer the following as true

More information

CHPSIM. Version 2.0. A Simulator and Debugger for the CHP Language. User Manual. Written by Marcel van der Goot and Chris Moore

CHPSIM. Version 2.0. A Simulator and Debugger for the CHP Language. User Manual. Written by Marcel van der Goot and Chris Moore CHPSIM Version 2.0 A Simulator and Debugger for the CHP Language User Manual Written by Marcel van der Goot and Chris Moore Copyright c 2010 Caltech All rights reserved. 1 2 Contents 1 Getting Started

More information

From Pseudcode Algorithms directly to C++ programs

From Pseudcode Algorithms directly to C++ programs From Pseudcode Algorithms directly to C++ programs (Chapter 7) Part 1: Mapping Pseudo-code style to C++ style input, output, simple computation, lists, while loops, if statements a bit of grammar Part

More information

A Toolchain for Home Automation Controller Development

A Toolchain for Home Automation Controller Development A Toolchain for Home Automation Controller Development Peter H. Dalsgaard, Thibaut Le Guilly, Daniel Middelhede, Petur Olsen, Thomas Pedersen, Anders P. Ravn, Arne Skou Department of Computer Science Aalborg

More information

IFAD. VDMTools Validated. Design through Modelling. Overview of VDM -SL/++ IFAD. IFAD A/S Forskerparken 10 DK-5230 Odense M Denmark.

IFAD. VDMTools Validated. Design through Modelling. Overview of VDM -SL/++ IFAD. IFAD A/S Forskerparken 10 DK-5230 Odense M Denmark. VDMTools Validated Design through Modelling Overview of VDM -SL/++ www.ifad.dk A/S Forskerparken 10 DK-5230 Odense M Denmark 1 VDM-SL ISO Standard 1996 for flat language Different module proposals A de-facto

More information

overview overview who practicalities introduction data structures and algorithms lecture 1 sorting insertion sort pseudo code merge sort

overview overview who practicalities introduction data structures and algorithms lecture 1 sorting insertion sort pseudo code merge sort overview data structures and algorithms 2017 09 04 lecture 1 overview who lectures: Femke van Raamsdonk f.van.raamsdonk at vu.nl T446 exercise classes: Paul Ursulean Petar Vukmirovic when and where tests

More information

6.096 Introduction to C++ January (IAP) 2009

6.096 Introduction to C++ January (IAP) 2009 MIT OpenCourseWare http://ocw.mit.edu 6.096 Introduction to C++ January (IAP) 2009 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. Welcome to 6.096 Lecture

More information

Exam 2. CSC 121 TTH Class. Lecturer: Howard Rosenthal. April 26, 2016

Exam 2. CSC 121 TTH Class. Lecturer: Howard Rosenthal. April 26, 2016 Your Name: Exam 2. CSC 121 TTH Class Lecturer: Howard Rosenthal April 26, 2016 The following questions (or parts of questions) in numbers 1-7 are all worth 3 points each. 1. Answer the following as true

More information

Verification of Java programs using networks of finite automata with discrete data.

Verification of Java programs using networks of finite automata with discrete data. Catholic University in Ružomberok Scientific Issues, Mathematica II, Ružomberok 2009 Verification of Java programs using networks of finite automata with discrete data. Bożena Woźna, Andrzej Zbrzezny Institute

More information

Decaf Language Reference

Decaf Language Reference Decaf Language Reference Mike Lam, James Madison University Fall 2016 1 Introduction Decaf is an imperative language similar to Java or C, but is greatly simplified compared to those languages. It will

More information

Process Synchronisation (contd.) Deadlock. Operating Systems. Spring CS5212

Process Synchronisation (contd.) Deadlock. Operating Systems. Spring CS5212 Operating Systems Spring 2009-2010 Outline Process Synchronisation (contd.) 1 Process Synchronisation (contd.) 2 Announcements Presentations: will be held on last teaching week during lectures make a 20-minute

More information

Priced Timed Automata and Timed Games. Kim G. Larsen Aalborg University, DENMARK

Priced Timed Automata and Timed Games. Kim G. Larsen Aalborg University, DENMARK Priced Timed Automata and Timed Games Kim G. Larsen Aalborg University, DENMARK Scheduling Priced Timed Automata and Synthesis Timed Games Kim G. Larsen Aalborg University, DENMARK Overview Timed Automata

More information

Simulation and Verification of Timed and Hybrid Systems

Simulation and Verification of Timed and Hybrid Systems Simulation and Verification of Timed and Hybrid Systems Bert van Beek and Koos Rooda Systems Engineering Group Eindhoven University of Technology ISC 2007 Delft 11 June 2007 Bert van Beek and Koos Rooda

More information

Recitation Session 6

Recitation Session 6 Recitation Session 6 CSE341 Computer Organization University at Buffalo radhakri@buffalo.edu March 11, 2016 CSE341 Computer Organization Recitation Session 6 1/26 Recitation Session Outline 1 Overview

More information

(Not Quite) Minijava

(Not Quite) Minijava (Not Quite) Minijava CMCS22620, Spring 2004 April 5, 2004 1 Syntax program mainclass classdecl mainclass class identifier { public static void main ( String [] identifier ) block } classdecl class identifier

More information

Some notes about Event-B and Rodin

Some notes about Event-B and Rodin Some notes about Event-B and Rodin Résumé This document briefly presents the language event-b and the tool Rodin. For a comprehensive presentation, refer to the event-b page http://www.event-b.org/, the

More information

CSE 20. Lecture 4: Number System and Boolean Function. CSE 20: Lecture2

CSE 20. Lecture 4: Number System and Boolean Function. CSE 20: Lecture2 CSE 20 Lecture 4: Number System and Boolean Function Next Weeks Next week we will do Unit:NT, Section 1. There will be an assignment set posted today. It is just for practice. Boolean Functions and Number

More information

Timed Automata Based Scheduling for a Miniature Pipeless Plant with Mobile Robots *

Timed Automata Based Scheduling for a Miniature Pipeless Plant with Mobile Robots * Timed Automata Based Scheduling for a Miniature Pipeless Plant with Mobile Robots * Christian Schoppmeyer, Martin Hüfner, Subanatarajan Subbiah, and Sebastian Engell Abstract In this contribution we present

More information

Towards Translating FSM-SADF to Timed Automata

Towards Translating FSM-SADF to Timed Automata Towards Translating FSM-SADF to Timed Automata Mladen Skelin Department of Engineering Cybernetics, Norwegian University of Science and Technology mladen.skelin@itk.ntnu.no Erik Ramsgaard Wognsen, Mads

More information

Model-Based Verification of the DMAMAC Protocol for Real-time Process Control

Model-Based Verification of the DMAMAC Protocol for Real-time Process Control Model-Based Verification of the DMAMAC Protocol for Real-time Process Control Admar Ajith Kumar Somappa Bergen University College University of Agder aaks@hib.no Andreas Prinz University of Agder andreas.prinz@uia.no

More information

Lecture 23: Priority Queues 10:00 AM, Mar 19, 2018

Lecture 23: Priority Queues 10:00 AM, Mar 19, 2018 CS18 Integrated Introduction to Computer Science Fisler, Nelson Lecture 23: Priority Queues 10:00 AM, Mar 19, 2018 Contents 1 Priority Queues 1 2 Binary Heaps 2 3 Heaps 4 4 Aside: The Ordered Trait 5 5

More information

Process Synchronization. Mehdi Kargahi School of ECE University of Tehran Spring 2008

Process Synchronization. Mehdi Kargahi School of ECE University of Tehran Spring 2008 Process Synchronization Mehdi Kargahi School of ECE University of Tehran Spring 2008 Producer-Consumer (Bounded Buffer) Producer Consumer Race Condition Producer Consumer Critical Sections Structure of

More information

Information Science 1

Information Science 1 Information Science Boolean Expressions Week College of Information Science and Engineering Ritsumeikan University Topics covered l Terms and concepts from Week 9 l Binary (Boolean) logic History Boolean

More information

CS 320: Concepts of Programming Languages

CS 320: Concepts of Programming Languages CS 320: Concepts of Programming Languages Wayne Snyder Computer Science Department Boston University Lecture 04: Basic Haskell Continued o Polymorphic Types o Type Inference with Polymorphism o Standard

More information

Induction and Semantics in Dafny

Induction and Semantics in Dafny 15-414 Lecture 11 1 Instructor: Matt Fredrikson Induction and Semantics in Dafny TA: Ryan Wagner Encoding the syntax of Imp Recall the abstract syntax of Imp: a AExp ::= n Z x Var a 1 + a 2 b BExp ::=

More information

Topics. bool and string types input/output library functions comments memory allocation templates classes

Topics. bool and string types input/output library functions comments memory allocation templates classes C++ Primer C++ is a major extension of c. It is similar to Java. The lectures in this course use pseudo-code (not C++). The textbook contains C++. The labs involve C++ programming. This lecture covers

More information

CS111: PROGRAMMING LANGUAGE II

CS111: PROGRAMMING LANGUAGE II CS111: PROGRAMMING LANGUAGE II Computer Science Department Lecture 1(c): Java Basics (II) Lecture Contents Java basics (part II) Conditions Loops Methods Conditions & Branching Conditional Statements A

More information