TABLE 8-1. Control Signals for Binary Multiplier. Load. MUL0 Q 0 CAQ sr CAQ. Shift_dec. C out. Load LOADQ. CAQ sr CAQ. Shift_dec P P 1.

Size: px
Start display at page:

Download "TABLE 8-1. Control Signals for Binary Multiplier. Load. MUL0 Q 0 CAQ sr CAQ. Shift_dec. C out. Load LOADQ. CAQ sr CAQ. Shift_dec P P 1."

Transcription

1 T-192 Control Signals for Binary Multiplier TABLE 8-1 Control Signals for Binary Multiplier Block Diagram Module Microoperation Control Signal Name Control Expression Register A: A 0 Initialize IDLE G A A B Load MUL0 Q 0 CAQ sr CAQ Shift_dec MUL1 Register B: B IN Load_B LOADB Flip-Flop C: C 0 Clear_C IDLE G MUL1 C Load C out Register Q: Q IN CAQ sr CAQ Load_Q Shift_dec LOADQ Counter P: P n 1 Initialize P P 1 Shift_dec Mano & Kime Upper Saddle River, New Jersey 07458

2 T-193 State Table for Sequence Register and Decoder Part of Multiplier Control Unit TABLE 8-2 State Table for Sequence Register and Decoder Part of Multiplier Control Unit Present state Inputs Next state Decoder Outputs Name M 1 M 0 G Z M 1 M 0 IDLE MUL0 MUL1 IDLE MUL MUL Mano & Kime Upper Saddle River, New Jersey 07458

3 T-194 Control Signals for Microprogrammed Multiplier Control TABLE 8-3 Control Signals for Microprogrammed Multiplier Control Control Signal Register Transfers States in Which Signal is Active Microinstruction Bit Position Symbolic Notation Initialize A 0, P n 1 INIT 0 IT Load A A B, C C out ADD 1 LD Clear_C C 0 INIT, MUL1 2 CC Shift_dec C A Q sr C A Q, P P 1 MUL1 3 SD Mano & Kime Upper Saddle River, New Jersey 07458

4 T-195 SEL Field Definition for Binary Multiplier Control Sequencing TABLE 8-4 SEL Field Definition for Binary Multiplier Control Sequencing SEL Symbolic notation Binary Code Sequencing Microoperations NXT 00 DG 01 DQ 10 DZ 11 CAR NXTADD0 G: CAR NXTADD0 G: CAR NXTADD1 Q 0 : CAR NXTADD0 Q 0 : CAR NXTADD1 Z: CAR NXTADD0 Z: CAR NXTADD1

5 T-196 Register Transfer Description of Binary Multiplier Microprogram TABLE 8-5 Register Transfer Description of Binary Multiplier Microprogram Address IDLE INIT MUL0 ADD MUL1 Symbolic transfer statement G: CAR INIT, G: CAR IDLE C 0, A 0, P n 1, CAR MUL0 Q 0 : CAR ADD, Q 0 : CAR MUL1 A A B, C C out, CAR MUL1 C 0, C A Q sr C A Q, Z: CAR IDLE, Z: CAR MUL0, P P 1 Mano & Kime Upper Saddle River, New Jersey 07458

6 T-197 Symbolic Microprogram and Binary Microprogram for Multiplier TABLE 8-6 Symbolic Microprogram and Binary Microprogram for Multiplier Address NXTADD1 NXTADD0 SEL DATAPATH Address NXTADD1 NXTADD0 SEL DATAPATH IDLE INIT IDLE DS None INIT MUL0 NXT IT, CC MUL0 ADD MUL1 DQ None ADD MUL1 NXT LD MUL1 IDLE MUL0 DZ CC, SD Mano & Kime Upper Saddle River, New Jersey 07458

7 T-198 Truth Table for Instruction Decoder Logic TABLE 8-7 Truth Table for Instruction Decoder Logic Instruction Bits Control Word Bits Bit 15 Bit 14 Bit 13 MB MD RW MW Typical Operation Category ALU function using registers Shifter function using registers 0 Memory write using register data Memory read using register data ALU operation using a constant Shifter function using registers Memory write using constant data Memory read using constant data Mano & Kime Upper Saddle River, New Jersey 07458

8 T-199 Six Instructions for the Single-Cycle Computer Operation code Symbolic name Format Description Function MB MD RW MW ADI Immediate Add immediate R[ DR] R[ SA] zf I(2:0) 1 0 operand LD Register Load memory R[ DR] M[ R[ SA] ] 1 0 content into register ST Register Store register M[ R[ SA] ] R[ SB] content in memory INC Register Increment R[ DR] R[ SA] register NOT Register Complement R[ DR] R[ SA] 0 0 register ADD Register Add registers R[ DR] R[ SA] R[ SB] 0 0 Mano & Kime Upper Saddle River, New Jersey 07458

9 T-200 Control Word Information for Datapath TD TA TB MB FS MD RW MM MW Select Select Select Select Code Function Code Select Function Select Function Code R[DR] R[SA] R[SB] Register 0 F A FnUt No write(nw) Address No write(nw) 0 R8 R8 R8 Constant 1 F A Data In Write(WR) PC Write(WR) 1 F A B F A B 1 F A B F A B 1 F A 1 F A F A B F A B F A B F A F A F sl A F sr A F Mano & Kime Upper Saddle River, New Jersey 07458

10 T-201 Control Information for Sequence Control Fields MS MC IL PI Action Symbolic Notation Code Select Symbolic Notation Action Symbolic Notation Action Symbolic Notation Code Increment CAR CNT 000 NA NXA No load NLI No load NLP 0 Load CAR NXT 001 Opcode OPC Load instr. LDI Increment PC INP 1 If C 1, load CAR; BC 010 else increment CAR If V 1, load CAR; BV 011 else increment CAR If Z 1, load CAR; BZ 100 else increment CAR If N 1, load CAR; BN 101 else increment CAR If C 0, load CAR; BNC 110 else increment CAR If Z 0, load CAR, else increment CAR BNZ 111 Mano & Kime Upper Saddle River, New Jersey 07458

11 T-202 Symbolic Microprogram for Fetch and Execution of Six Instructions Address NXT ADD MS MC IL PI TD TA TB MB FS MD RW MM MW IF EX0 CNT LDI INP NW PC NW EXO NXT OPC NLI NLP NW NW ADI IF NXT NXA NLI NLP DR SA Constant F A B FnUt WR NW LD IF NXT NXA NLI NLP DR SA Data WR MA NW ST IF NXT NXA NLI NLP SA SB Register NW MA WR INC IF NXT NXA NLI NLP DR SA F A 1 FnUt WR NW NOT IF NXT NXA NLI NLP DR SA F A FnUt WR NW ADD IF NXT NXA NLI NLP DR SA SB Register F A B FnUt WR NW Mano & Kime Upper Saddle River, New Jersey 07458

12 T-203 Binary Microprogram for Fetch and Execution of Six Instructions Address NXT ADD MS MC IL PI TD TA TB MB FS MD RW MM MW Mano & Kime Upper Saddle River, New Jersey 07458

13 T-204 ASM Chart Elements Name Binary code IDLE 000 Register operation or output R 0 RUN Condition (a) State box (b) Example of state box (c) Decision box IDLE R 0 From decision box START Register operation or output PC 0 (d) Conditional output box (e) Example of decision and condition output box

14 T-205 ASM Block IDLE AVAIL Entry ASM BLOCK Exit START A 0 Q 0 Exit Exit MUL0 MUL1

15 T-206 ASM Timing Behavior Clock cycle 1 Clock cycle 2 Clock cycle 3 Clock START Q 0 State IDLE MUL1 AVAIL A Mano & Kime Upper Saddle River, New Jersey 07458

16 T-207 Hand Multiplication Example Multiplicand Multiplier Product

17 T-208 Hardware Multiplication Example Multiplicand Multiplier Initial partial product Add multiplicand, since multiplier bit is Partial product after add and before shift Partial product after shift Add multiplicand, since multiplier bit is Partial product after add and before shift a Partial product after shift Partial product after shift Partial product after shift Add multiplicand, since multiplier bit is Partial product after add and before shift Product after final shift a. Note that overflow temporarily occurred.

18 T-209 Block Diagram for Binary Multiplier log 2 n n 1 Counter P IN n Multiplicand Register B n Zero detect G (Go) C out Parallel adder Control unit 5 Z Q o n Multiplier 0 C Shift register A Shift register Q n n Control signals Product OUT Mano & Kime Upper Saddle River, New Jersey 07458

19 T-210 ASM Chart for Binary Multiplier IDLE G C 0, A 0 P n 1 MUL0 Q 0 A A + B, C C out MUL1 C 0, C A Q sr C A Q, P P 1 Z

20 T-211 Sequencing Part of ASM Chart for the Binary Multiplier IDLE 00 G MUL0 01 MUL1 10 Z

21 T-212 Control Unit for Binary Multiplier Using a Sequence Register and a Decoder G Z D M 0 C DECODER IDLE A0 0 MUL0 1 2 MUL1 A1 3 Initialize Clear_C Shift_dec D M 1 C Load Q 0 Clock Mano & Kime Upper Saddle River, New Jersey 07458

22 T-213 Transformation Rules for Control Unit with One Flip-Flop per State State Entry Entry State D C Exit Entry X (a) State box Entry Exit X Exit 0 Exit 1 (b) Decision box Exit 0 Exit 1 Entry 1 Entry 2 Entry 1 Entry 2 Exit (c) Junction Exit Entry X 1 Entry X Exit 1 Control Exit 1 (d) Conditional output box

23 T-214 Control Unit with One Flip-Flop per State for the Binary Multiplier 3 IDLE D 1 C G 2 4 Initialize 3 MUL0 D 1 Q 0 4 Clear _C Load C MUL1 D 1 4 Shift_dec C Clock Z 2

24 T-215 Microprogrammed Control Unit Organization Control inputs Status signals from datapath Next-address generator Control address register Sequencer Control address Address Control memory (ROM) Data Control data register (optional) Microinstruction Next-address information Control outputs Control signals to datapath

25 T-216 ASM Chart for Microprogrammed Binary Multiplier Control Unit IDLE 000 G INIT 001 A 0, C 0 P n 1 MUL0 010 Q 0 ADD 011 A A + B, C C out MUL1 100 C 0, C A Q sr C A Q, P P 1 Z

26 T-217 Microinstruction Control Unit Word Format NXTADD1 NXTADD0 SEL DATAPATH

27 T-218 Microprogrammed Control Unit for Multiplier IN n 2 Datapath 4 n OUT MUX1 2 to 1 MUX DATAPATH 0 G Z Q 0 MUX2 4 to 1 MUX S 3 CAR x 12 Control Memory (ROM) SEL NXTADD0 NXTADD1 S 1 S 0 2 Mano & Kime Upper Saddle River, New Jersey 07458

28 T-219 Two Instruction Formats Opcode Destination register (DR) Source register A (SA) Source register B (SB) (a) Register Opcode Destination register (DR) Source register A (SA) Operand (OP) (b) Immediate Mano & Kime Upper Saddle River, New Jersey 07458

29 T-220 Memory Representation of Instructions and Data Decimal address Memory contents Decimal opcode Other specified fields Operation (Subtract) DR:1, SA:2 SB:3 R1 R2 R (Store) SA:4 SB:5 M [R4] R (Add Immediate) DR:2 SA:7 OP:3 R2 R Data = 192. After execution of instruction in 35, Data = 80. Mano & Kime Upper Saddle River, New Jersey 07458

30 T-221 Storage Resource Diagram for a Simple Computer Program counter (PC) Instruction memory 2 15 x 16 Register file 8 x 16 Data memory 2 15 x 16

31 T-222 Block Diagram of a Single-Cycle Computer PC Address Instruction memory Instruction RW DA AA D Register file A B BA Instruction decoder Zero fill Constant in 1 0 MUX B MB D A B A A A M B F S M D R W M W CONTROL FS V C N Z Bus A A Bus B Function unit F B Address out Data out Data in MW Data memory Data out Address Data in DATAPATH Bus D MD MUX D

32 T-223 Diagram of Instruction Decoder Instruction Opcode DR SA SB Bit DA AA BA MB FS MD RW 0 MW Control word

33 T-224 Worst Case Delay Path in Single-Cycle Computer PC 1 ns Instruction memory 4 ns Register file (Read) 3 ns MUX B 1 ns Function unit or Data memory 4 ns MUX D 1 ns Register file (Write) 3 ns

34 T-225 Multiple-Cycle Microprogrammed Computer PI PC Z C N C V C MUX S 3 MS 16 TD DR RW 4 DA D 9 x 16 Register file 8 IL Opcode IR DR SA SB TD SA 4 Zero fill AA A B BA 4 TB SB MC MUX C 1 0 MUX B MB CAR 8 Bus A Bus B MM MUX M Control memory 256 x 28 FS V C N A Function unit B Data MW Address out out Data in Address Memory M N M M I P A S C L I Sequence control T D T A T B M B F S M D R W M M M W 4 Datapath control Z MD Bus D F MUX D Data in Data out MICROPROGRAMMED CONTROL DATAPATH

35 T-226 Format for Microinstruction NA MS M C I L P I T D T A T B MB FS M D R W M M M W Mano & Kime Upper Saddle River, New Jersey 07458

36 T-227 ASM Chart for Multiple-Cycle Microprogrammed Computer EX IF IR M [PC] PC PC + 1 IR = ? ADl R [DR] R [SA] + zf IR [2:0] IR = ? LD R [DR] M [R [SA] ] IR = ? ST M [R [SA] ] R [SB] IR = ? INC R [DR] R [SA] + 1 IR = ? NOT R [DR] R [SA] IR = ? ADD R [DR] R [SA] + R [SB] IR = ? IR = ?

37 T-228 ASM Chart for Register Indirect Instruction IR = ? LRI0 R8 M [R [ SA] ] LRI R [DR] M [R8] To IF

38 T-229 ASM Chart for Right-Shift Multiple Instruction IR = ? SRM R8 zf IR [2:0] zf IR [2:0] = 0? 1 T0 IF 0 SRM R [DR] sr R [SA], NOTE: SA = DR SRM R8 R8 1 R8 = 0? 1 T0 IF 0

39 T-230 ASM Chart for Multiple-Cycle, Decoder-Based Computer EX0 01 IF 00 IR M [PC], PC PC + 1 IR = ? (ADl) R [DR] R [SA] + zf IR [2:0] IR = ? (LD) R [DR] M [R [SA] ] IR = ? (ST) M [R [SA] ] R [SB] IR = ? (INC) R [DR] R [SA] + 1 IR = ? (NOT) R [DR] R [SA] IR = ? (ADD) R [DR] R [SA] + R [SB] IR = ? (LRI) R8 M [R [SA] ] EX1 10 R [DR] M [R8]

40 T-231 Block Diagram of Hardwired Counter and Decoder-Based, Multiple-Cycle Control Unit CR Syn. reset Counter IL Decoder I R Decoder ADI LD ST INC NOT ADD LRI 2 I F E X E X Control logic C R I L P I T D T A T B M B F S M D R W M M M W

41 T-232 Assembly Line Analogy to Computer Pipeline WAREHOUSE Mano & Kime Upper Saddle River, New Jersey 07458

42 T-233 Block Diagram of Pipelined Computer IF PC Stage 1 Address Instruction memory Instruction IF DOF IR AA Register file A data B data BA Stage 2 Instruction decoder Zero fill MUX B MB DOF AA BA MB Data A Data B EX FS MW Address out Stage 3 FS C V N Z 5 A B Function unit F Data in Data memory Data out Address EX WB Stage 4 WB DA MD RW CONTROL RW D DATAPATH Data F MD MUX D D data register file (same as above) Data I Data out Data in MW Address Data memory (same as above)

43 T-234 Pipeline Execution Pattern of Register Number Program Clock cycle IF DOF EX WB 2 IF DOF EX WB 3 IF DOF EX WB 4 IF DOF EX WB 5 IF DOF EX WB 6 IF DOF EX WB 7 Instruction IF DOF EX WB Mano & Kime Upper Saddle River, New Jersey 07458

44 T-235 Pipeline Execution Pattern of Register Sum Program Clock cycle IF DOF EX WB R1 2 IF DOF EX WB R3 3 IF DOF EX WB R5 4 IF DOF EX WB R7 5 R1, R3 IF DOF EX WB R3 6 IF DOF EX WB 7 R5, R7 IF DOF EX WB R7 8 IF DOF EX WB 9 IF DOF EX WB 10 R3, R7 IF DOF EX WB Instruction Mano & Kime Upper Saddle River, New Jersey 07458

8-1. Fig. 8-1 ASM Chart Elements 2001 Prentice Hall, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 2e, Updated.

8-1. Fig. 8-1 ASM Chart Elements 2001 Prentice Hall, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 2e, Updated. 8-1 Name Binary code IDLE 000 Register operation or output R 0 RUN Condition (a) State box (b) Example of state box (c) Decision box IDLE R 0 From decision box START Register operation or output PC 0 (d)

More information

8-1. Fig. 8-1 ASM Chart Elements 2001 Prentice Hall, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 2e, Updated.

8-1. Fig. 8-1 ASM Chart Elements 2001 Prentice Hall, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 2e, Updated. 8-1 Name Binary code IDLE 000 Register operation or output R 0 RUN 0 1 Condition (a) State box (b) Example of state box (c) Decision box IDLE R 0 From decision box 0 1 START Register operation or output

More information

Chapter 10 Computer Design Basics

Chapter 10 Computer Design Basics Logic and Computer Design Fundamentals Chapter 10 Computer Design Basics Part 2 A Simple Computer Charles Kime & Thomas Kaminski 2004 Pearson Education, Inc. Terms of Use (Hyperlinks are active in View

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic and Computer Design Fundamentals Chapter 9 Computer Design asics Part 2 A Simple Computer Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Overview

More information

Control Unit: Binary Multiplier. Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN

Control Unit: Binary Multiplier. Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN Control Unit: Binary Multiplier Arturo Díaz-Pérez Departamento de Computación Laboratorio de Tecnologías de Información CINVESTAV-IPN Example: Binary Multiplier Two versions Hardwired control Microprogrammed

More information

Computer Architecture Programming the Basic Computer

Computer Architecture Programming the Basic Computer 4. The Execution of the EXCHANGE Instruction The EXCHANGE routine reads the operand from the effective address and places it in DR. The contents of DR and AC are interchanged in the third microinstruction.

More information

ECE 3401 Lecture 20. Microprogramming (III) Overview. Single-Cycle Computer Issues. Multiple-Cycle Computer. Single-Cycle SC

ECE 3401 Lecture 20. Microprogramming (III) Overview. Single-Cycle Computer Issues. Multiple-Cycle Computer. Single-Cycle SC Overview ECE 34 Lecture 2 icroprogramming (III) Part Datapaths Introduction Datapath Example Datapath Representation and Control Word Part 2 A Simple Computer Set Architecture (ISA) Single-Cycle Hardwired

More information

THE MICROPROCESSOR Von Neumann s Architecture Model

THE MICROPROCESSOR Von Neumann s Architecture Model THE ICROPROCESSOR Von Neumann s Architecture odel Input/Output unit Provides instructions and data emory unit Stores both instructions and data Arithmetic and logic unit Processes everything Control unit

More information

REGISTER TRANSFER LANGUAGE

REGISTER TRANSFER LANGUAGE REGISTER TRANSFER LANGUAGE The operations executed on the data stored in the registers are called micro operations. Classifications of micro operations Register transfer micro operations Arithmetic micro

More information

MICROPROGRAMMED CONTROL

MICROPROGRAMMED CONTROL MICROPROGRAMMED CONTROL Hardwired Control Unit: When the control signals are generated by hardware using conventional logic design techniques, the control unit is said to be hardwired. Micro programmed

More information

CSC 220: Computer Organization Unit 12 CPU programming

CSC 220: Computer Organization Unit 12 CPU programming College of Computer and Information Sciences Department of Computer Science CSC 220: Computer Organization Unit 12 CPU programming 1 Instruction set architectures Last time we built a simple, but complete,

More information

Chapter 5. Computer Architecture Organization and Design. Computer System Architecture Database Lab, SANGJI University

Chapter 5. Computer Architecture Organization and Design. Computer System Architecture Database Lab, SANGJI University Chapter 5. Computer Architecture Organization and Design Computer System Architecture Database Lab, SANGJI University Computer Architecture Organization and Design Instruction Codes Computer Registers

More information

TABLE 9-1. Symbolic Convention for Addressing Modes. Register indirect LDA (R1) ACC M[ R1] Refers to Figure 9-4. Addressing mode. Symbolic convention

TABLE 9-1. Symbolic Convention for Addressing Modes. Register indirect LDA (R1) ACC M[ R1] Refers to Figure 9-4. Addressing mode. Symbolic convention T-236 Symbolic Convention for Addressing Modes TABLE 9-1 Symbolic Convention for Addressing Modes Refers to Figure 9-4 Addressing mode Symbolic convention Register transfer Effective address Contents of

More information

Chapter 05: Basic Processing Units Control Unit Design. Lesson 15: Microinstructions

Chapter 05: Basic Processing Units Control Unit Design. Lesson 15: Microinstructions Chapter 05: Basic Processing Units Control Unit Design Lesson 15: Microinstructions 1 Objective Understand that an instruction implement by sequences of control signals generated by microinstructions in

More information

CHAPTER SIX BASIC COMPUTER ORGANIZATION AND DESIGN

CHAPTER SIX BASIC COMPUTER ORGANIZATION AND DESIGN CHAPTER SIX BASIC COMPUTER ORGANIZATION AND DESIGN 6.1. Instruction Codes The organization of a digital computer defined by: 1. The set of registers it contains and their function. 2. The set of instructions

More information

More advanced CPUs. August 4, Howard Huang 1

More advanced CPUs. August 4, Howard Huang 1 More advanced CPUs In the last two weeks we presented the design of a basic processor. The datapath performs operations on register and memory data. A control unit translates program instructions into

More information

CHAPTER 8: Central Processing Unit (CPU)

CHAPTER 8: Central Processing Unit (CPU) CS 224: Computer Organization S.KHABET CHAPTER 8: Central Processing Unit (CPU) Outline Introduction General Register Organization Stack Organization Instruction Formats Addressing Modes 1 Major Components

More information

Universiteit Leiden Opleiding Informatica

Universiteit Leiden Opleiding Informatica Universiteit Leiden Opleiding Informatica Design, Analysis, and Optimization of an Embedded Processor Name: Ruben Meerkerk Studentnr: s1219677 Date: 31/08/2016 1st supervisor: Dr. T.P. Stefanov 2nd supervisor:

More information

Final Exam Review. b) Using only algebra, prove or disprove the following:

Final Exam Review. b) Using only algebra, prove or disprove the following: EE 254 Final Exam Review 1. The final exam is open book and open notes. It will be made up of problems similar to those on the previous 3 hour exams. For review, be sure that you can work all of the problems

More information

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital

COMPUTER ARCHITECTURE AND ORGANIZATION Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital Register Transfer and Micro-operations 1. Introduction A digital system is an interconnection of digital hardware modules that accomplish a specific information-processing task. Digital systems vary in

More information

ENGG3380: Computer Organization and Design Lab5: Microprogrammed Control

ENGG3380: Computer Organization and Design Lab5: Microprogrammed Control ENGG330: Computer Organization and Design Lab5: Microprogrammed Control School of Engineering, University of Guelph Winter 201 1 Objectives: The objectives of this lab are to: Start Date: Week #5 201 Due

More information

COMPUTER ORGANIZATION

COMPUTER ORGANIZATION COMPUTER ORGANIZATION INDEX UNIT-II PPT SLIDES Srl. No. Module as per Session planner Lecture No. PPT Slide No. 1. Register Transfer language 2. Register Transfer Bus and memory transfers 3. Arithmetic

More information

CISC Processor Design

CISC Processor Design CISC Processor Design Virendra Singh Indian Institute of Science Bangalore virendra@computer.org Lecture 3 SE-273: Processor Design Processor Architecture Processor Architecture CISC RISC Jan 21, 2008

More information

Chapter 1 Microprocessor architecture ECE 3120 Dr. Mohamed Mahmoud http://iweb.tntech.edu/mmahmoud/ mmahmoud@tntech.edu Outline 1.1 Computer hardware organization 1.1.1 Number System 1.1.2 Computer hardware

More information

csitnepal Unit 3 Basic Computer Organization and Design

csitnepal Unit 3 Basic Computer Organization and Design Unit 3 Basic Computer Organization and Design Introduction We introduce here a basic computer whose operation can be specified by the resister transfer statements. Internal organization of the computer

More information

Faculty of Engineering Systems & Biomedical Dept. First Year Cairo University Sheet 6 Computer I

Faculty of Engineering Systems & Biomedical Dept. First Year Cairo University Sheet 6 Computer I aculty of Engineering Systems & Biomedical Dept. irst Year Cairo University Sheet 6 Computer I 1. Choose rue or alse for each of the following statements a) In a direct addressing mode instruction, the

More information

Computer Architecture

Computer Architecture Computer Architecture Lecture 1: Digital logic circuits The digital computer is a digital system that performs various computational tasks. Digital computers use the binary number system, which has two

More information

Class Notes. Dr.C.N.Zhang. Department of Computer Science. University of Regina. Regina, SK, Canada, S4S 0A2

Class Notes. Dr.C.N.Zhang. Department of Computer Science. University of Regina. Regina, SK, Canada, S4S 0A2 Class Notes CS400 Part VI Dr.C.N.Zhang Department of Computer Science University of Regina Regina, SK, Canada, S4S 0A2 C. N. Zhang, CS400 83 VI. CENTRAL PROCESSING UNIT 1 Set 1.1 Addressing Modes and Formats

More information

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT

UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT UNIT-III 1 KNREDDY UNIT-III REGISTER TRANSFER LANGUAGE AND DESIGN OF CONTROL UNIT Register Transfer: Register Transfer Language Register Transfer Bus and Memory Transfers Arithmetic Micro operations Logic

More information

Objective now How are such control statements registers and other components Managed to ensure proper execution of each instruction

Objective now How are such control statements registers and other components Managed to ensure proper execution of each instruction Control and Control Components Introduction Software application similar to familiar nested Russian dolls As we ve observed earlier Application written in some high level programming language C, C++, C#,

More information

Lecture1: introduction. Outline: History overview Central processing unite Register set Special purpose address registers Datapath Control unit

Lecture1: introduction. Outline: History overview Central processing unite Register set Special purpose address registers Datapath Control unit Lecture1: introduction Outline: History overview Central processing unite Register set Special purpose address registers Datapath Control unit 1 1. History overview Computer systems have conventionally

More information

Register Transfer and Micro-operations

Register Transfer and Micro-operations Register Transfer Language Register Transfer Bus Memory Transfer Micro-operations Some Application of Logic Micro Operations Register Transfer and Micro-operations Learning Objectives After reading this

More information

Computer Organisation CS303

Computer Organisation CS303 Computer Organisation CS303 Module Period Assignments 1 Day 1 to Day 6 1. Write a program to evaluate the arithmetic statement: X=(A-B + C * (D * E-F))/G + H*K a. Using a general register computer with

More information

DC57 COMPUTER ORGANIZATION JUNE 2013

DC57 COMPUTER ORGANIZATION JUNE 2013 Q2 (a) How do various factors like Hardware design, Instruction set, Compiler related to the performance of a computer? The most important measure of a computer is how quickly it can execute programs.

More information

Chapter 3 : Control Unit

Chapter 3 : Control Unit 3.1 Control Memory Chapter 3 Control Unit The function of the control unit in a digital computer is to initiate sequences of microoperations. When the control signals are generated by hardware using conventional

More information

Blog - https://anilkumarprathipati.wordpress.com/

Blog - https://anilkumarprathipati.wordpress.com/ Control Memory 1. Introduction The function of the control unit in a digital computer is to initiate sequences of microoperations. When the control signals are generated by hardware using conventional

More information

COMPUTER HARDWARE. Instruction Set Architecture

COMPUTER HARDWARE. Instruction Set Architecture COMPUTER HARDWARE Instruction Set Architecture Overview Computer architecture Operand addressing Addressing architecture Addressing modes Elementary instructions Data transfer instructions Data manipulation

More information

Digital System Design Using Verilog. - Processing Unit Design

Digital System Design Using Verilog. - Processing Unit Design Digital System Design Using Verilog - Processing Unit Design 1.1 CPU BASICS A typical CPU has three major components: (1) Register set, (2) Arithmetic logic unit (ALU), and (3) Control unit (CU) The register

More information

CHAPTER 5 Basic Organization and Design Outline Instruction Codes Computer Registers Computer Instructions Timing and Control Instruction Cycle

CHAPTER 5 Basic Organization and Design Outline Instruction Codes Computer Registers Computer Instructions Timing and Control Instruction Cycle CS 224: Computer Organization S.KHABET CHAPTER 5 Basic Organization and Design Outline Instruction Codes Computer Registers Computer Instructions Timing and Control Instruction Cycle Memory Reference Instructions

More information

Unit II Basic Computer Organization

Unit II Basic Computer Organization 1. Define the term. Internal Organization-The internal organization of a digital system is defined by the sequence of microoperations it performs on data stored in its registers. Program- A program is

More information

C.P.U Organization. Memory Unit. Central Processing Unit (C.P.U) Input-Output Processor (IOP) Figure (1) Digital Computer Block Diagram

C.P.U Organization. Memory Unit. Central Processing Unit (C.P.U) Input-Output Processor (IOP) Figure (1) Digital Computer Block Diagram C.P.U Organization 1.1 Introduction A computer system is sometimes subdivided into two functional entities "Hardware" and "Software". The H/W of the computer consists of all the electronic components and

More information

PESIT Bangalore South Campus

PESIT Bangalore South Campus INTERNAL ASSESSMENT TEST III Date : 21/11/2017 Max Marks : 40 Subject & Code : Computer Organization (15CS34) Semester : III (A & B) Name of the faculty: Mrs. Sharmila Banu Time : 11.30 am 1.00 pm Answer

More information

ECE/Comp. Sci. 352 { Digital System Fundamentals

ECE/Comp. Sci. 352 { Digital System Fundamentals epartment of Electrical and Computer Engineering University of Wisconsin - Madison Final uggested olution ECE/Comp. ci. 352 { igital ystem Fundamentals. (25 points) hort Questions (a)(5points) Convert

More information

Chapter 6: Datapath and Control. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V.

Chapter 6: Datapath and Control. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. 6- Principles of Computer Architecture Miles Murdocca and Vincent Heuring 999 M. Murdocca and V. Heuring 6-2 Chapter Contents 6. Basics of the Microarchitecture 6.2 A Microarchitecture for the ARC 6.3

More information

Major CPU Design Steps

Major CPU Design Steps Datapath Major CPU Design Steps. Analyze instruction set operations using independent RTN ISA => RTN => datapath requirements. This provides the the required datapath components and how they are connected

More information

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( )

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( ) 6. Combinational Circuits George Boole (85 864) Claude Shannon (96 2) Signals and Wires Digital signals Binary (or logical ) values: or, on or off, high or low voltage Wires. Propagate digital signals

More information

BASIC COMPUTER ORGANIZATION AND DESIGN

BASIC COMPUTER ORGANIZATION AND DESIGN BASIC COMPUTER ORGANIZATION AND DESIGN Instruction Codes Computer Registers Computer Instructions Timing and Control Instruction Cycle Memory Reference Instructions Input-Output and Interrupt Complete

More information

Basic Computer Organization - Designing your first computer. Acknowledgment: Most of the slides are adapted from Prof. Hyunsoo Yoon s slides.

Basic Computer Organization - Designing your first computer. Acknowledgment: Most of the slides are adapted from Prof. Hyunsoo Yoon s slides. Basic Computer Organization - Designing your first computer Acknowledgment: Most of the slides are adapted from Prof. Hyunsoo Yoon s slides. 1 This week- BASIC COMPUTER ORGANIZATION AND DESIGN Instruction

More information

Binary Adders. Ripple-Carry Adder

Binary Adders. Ripple-Carry Adder Ripple-Carry Adder Binary Adders x n y n x y x y c n FA c n - c 2 FA c FA c s n MSB position Longest delay (Critical-path delay): d c(n) = n d carry = 2n gate delays d s(n-) = (n-) d carry +d sum = 2n

More information

BASIC COMPUTER ORGANIZATION AND DESIGN

BASIC COMPUTER ORGANIZATION AND DESIGN 1 BASIC COMPUTER ORGANIZATION AND DESIGN Instruction Codes Computer Registers Computer Instructions Timing and Control Instruction Cycle Memory Reference Instructions Input-Output and Interrupt Complete

More information

Intel 8086 MICROPROCESSOR. By Y V S Murthy

Intel 8086 MICROPROCESSOR. By Y V S Murthy Intel 8086 MICROPROCESSOR By Y V S Murthy 1 Features It is a 16-bit μp. 8086 has a 20 bit address bus can access up to 2 20 memory locations (1 MB). It can support up to 64K I/O ports. It provides 14,

More information

Computer Organization (Autonomous)

Computer Organization (Autonomous) Computer Organization (Autonomous) UNIT II Sections - A & D Prepared by Anil Kumar Prathipati, Asst. Prof., Dept. of CSE. SYLLABUS Basic Computer Organization and Design: Instruction codes Stored Program

More information

Control Unit Implementation Hardwired Memory

Control Unit Implementation Hardwired Memory Chapter 7: Microprogrammed nit mplementation Hardwired nstruction code Sequence Counter Combinational Logic Circuits signals Microprogrammed nstruction code CAR: Register CDR: Data Register Next Generator

More information

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book

BUILDING BLOCKS OF A BASIC MICROPROCESSOR. Part 1 PowerPoint Format of Lecture 3 of Book BUILDING BLOCKS OF A BASIC MICROPROCESSOR Part PowerPoint Format of Lecture 3 of Book Decoder Tri-state device Full adder, full subtractor Arithmetic Logic Unit (ALU) Memories Example showing how to write

More information

There are four registers involved in the fetch cycle: MAR, MBR, PC, and IR.

There are four registers involved in the fetch cycle: MAR, MBR, PC, and IR. CS 320 Ch. 20 The Control Unit Instructions are broken down into fetch, indirect, execute, and interrupt cycles. Each of these cycles, in turn, can be broken down into microoperations where a microoperation

More information

Computer Architecture

Computer Architecture http://www.bsccsit.com/ Computer Architecture CSC. 201 Third Semester Prepared By: Arjun Singh Saud Special thanks to Mr. Arjun Singh Saud for providing this valuable note! Chapter 1 Data representation

More information

ECE 2300 Digital Logic & Computer Organization. More Single Cycle Microprocessor

ECE 2300 Digital Logic & Computer Organization. More Single Cycle Microprocessor ECE 23 Digital Logic & Computer Organization Spring 28 More Single Cycle Microprocessor Lecture 6: HW6 due tomorrow Announcements Prelim 2: Tues April 7, 7:3pm, Phillips Hall Coverage: Lectures 8~6 Inform

More information

10-1 C D Pearson Education, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 4e

10-1 C D Pearson Education, Inc. M. Morris Mano & Charles R. Kime LOGIC AND COMPUTER DESIGN FUNDAMENTALS, 4e 10-1 C D E A B 10-2 A B A B C (A B) C D A A B (A B) C E D (A B) C D E (A B) C + D E (A B) C 10-3 Opcode Mode Address or operand 10-4 Memory 250 Opcode Mode PC = 250 251 ADRS 252 Next instruction ACC Opcode:

More information

Computer Organization II CMSC 3833 Lecture 33

Computer Organization II CMSC 3833 Lecture 33 Term MARIE Definition Machine Architecture that is Really Intuitive and Easy 4.8.1 The Architecture Figure s Architecture Characteristics: Binary, two s complement Stored program, fixed word length Word

More information

Module 5 - CPU Design

Module 5 - CPU Design Module 5 - CPU Design Lecture 1 - Introduction to CPU The operation or task that must perform by CPU is: Fetch Instruction: The CPU reads an instruction from memory. Interpret Instruction: The instruction

More information

ECE 341 Midterm Exam

ECE 341 Midterm Exam ECE 341 Midterm Exam Time allowed: 75 minutes Total Points: 75 Points Scored: Name: Problem No. 1 (8 points) For each of the following statements, indicate whether the statement is TRUE or FALSE: (a) A

More information

EE 3170 Microcontroller Applications

EE 3170 Microcontroller Applications EE 3170 Microcontroller Applications Lecture 4 : Processors, Computers, and Controllers - 1.2 (reading assignment), 1.3-1.5 Based on slides for ECE3170 by Profs. Kieckhafer, Davis, Tan, and Cischke Outline

More information

Single cycle MIPS data path without Forwarding, Control, or Hazard Unit

Single cycle MIPS data path without Forwarding, Control, or Hazard Unit Single cycle MIPS data path without Forwarding, Control, or Hazard Unit Figure 1: an Overview of a MIPS datapath without Control and Forwarding (Patterson & Hennessy, 2014, p. 287) A MIPS 1 single cycle

More information

Topics. Computer Organization CS Exam 2 Review. Infix Notation. Reverse Polish Notation (RPN)

Topics. Computer Organization CS Exam 2 Review. Infix Notation. Reverse Polish Notation (RPN) Computer Organization CS 231-01 Exam 2 Review Dr. William H. Robinson October 11, 2004 http://eecs.vanderbilt.edu/courses/cs231/ Topics Education is a progressive discovery of our own ignorance. Will Durant

More information

CPU Design John D. Carpinelli, All Rights Reserved 1

CPU Design John D. Carpinelli, All Rights Reserved 1 CPU Design 1997 John D. Carpinelli, All Rights Reserved 1 Outline Register organization ALU design Stacks Instruction formats and types Addressing modes 1997 John D. Carpinelli, All Rights Reserved 2 We

More information

PROBLEMS. 7.1 Why is the Wait-for-Memory-Function-Completed step needed when reading from or writing to the main memory?

PROBLEMS. 7.1 Why is the Wait-for-Memory-Function-Completed step needed when reading from or writing to the main memory? 446 CHAPTER 7 BASIC PROCESSING UNIT (Corrisponde al cap. 10 - Struttura del processore) PROBLEMS 7.1 Why is the Wait-for-Memory-Function-Completed step needed when reading from or writing to the main memory?

More information

UNIT-II. Part-2: CENTRAL PROCESSING UNIT

UNIT-II. Part-2: CENTRAL PROCESSING UNIT Page1 UNIT-II Part-2: CENTRAL PROCESSING UNIT Stack Organization Instruction Formats Addressing Modes Data Transfer And Manipulation Program Control Reduced Instruction Set Computer (RISC) Introduction:

More information

INTELLIGENCE PLUS CHARACTER - THAT IS THE GOAL OF TRUE EDUCATION UNIT-I

INTELLIGENCE PLUS CHARACTER - THAT IS THE GOAL OF TRUE EDUCATION UNIT-I UNIT-I 1. List and explain the functional units of a computer with a neat diagram 2. Explain the computer levels of programming languages 3. a) Explain about instruction formats b) Evaluate the arithmetic

More information

16.1. Unit 16. Computer Organization Design of a Simple Processor

16.1. Unit 16. Computer Organization Design of a Simple Processor 6. Unit 6 Computer Organization Design of a Simple Processor HW SW 6.2 You Can Do That Cloud & Distributed Computing (CyberPhysical, Databases, Data Mining,etc.) Applications (AI, Robotics, Graphics, Mobile)

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS II Fall 1999

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS II Fall 1999 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS II Fall 1999 Lab 7-10: Micro-processor Design: Minimal Instruction Set Processor (MISP) Objective:

More information

RAČUNALNIŠKEA COMPUTER ARCHITECTURE

RAČUNALNIŠKEA COMPUTER ARCHITECTURE RAČUNALNIŠKEA COMPUTER ARCHITECTURE 6 Central Processing Unit - CPU RA - 6 2018, Škraba, Rozman, FRI 6 Central Processing Unit - objectives 6 Central Processing Unit objectives and outcomes: A basic understanding

More information

Fig: Computer memory with Program, data, and Stack. Blog - NEC (Autonomous) 1

Fig: Computer memory with Program, data, and Stack. Blog -   NEC (Autonomous) 1 Central Processing Unit 1. Stack Organization A useful feature that is included in the CPU of most computers is a stack or last in, first out (LIFO) list. A stack is a storage device that stores information

More information

5-1 Instruction Codes

5-1 Instruction Codes Chapter 5: Lo ai Tawalbeh Basic Computer Organization and Design 5-1 Instruction Codes The Internal organization of a digital system is defined by the sequence of microoperations it performs on data stored

More information

SISTEMI EMBEDDED. Computer Organization Central Processing Unit (CPU) Federico Baronti Last version:

SISTEMI EMBEDDED. Computer Organization Central Processing Unit (CPU) Federico Baronti Last version: SISTEMI EMBEDDED Computer Organization Central Processing Unit (CPU) Federico Baronti Last version: 20170516 Processing Unit A processor reads program instructions from the computer s memory and executes

More information

BASIC COMPUTER ORGANIZATION AND DESIGN

BASIC COMPUTER ORGANIZATION AND DESIGN 1 BASIC COMPUTER ORGANIZATION AND DESIGN Instruction Codes Computer Registers Computer Instructions Timing and Control Instruction Cycle Memory Reference Instructions Input-Output and Interrupt Complete

More information

General Purpose Processors

General Purpose Processors Calcolatori Elettronici e Sistemi Operativi Specifications Device that executes a program General Purpose Processors Program list of instructions Instructions are stored in an external memory Stored program

More information

COMPUTER ORGANIZATION AND ARCHITECTURE

COMPUTER ORGANIZATION AND ARCHITECTURE Page 1 1. Which register store the address of next instruction to be executed? A) PC B) AC C) SP D) NONE 2. How many bits are required to address the 128 words of memory? A) 7 B) 8 C) 9 D) NONE 3. is the

More information

Controller Implementation--Part II

Controller Implementation--Part II Controller Implementation--Part II Alternative controller FSM implementation approaches based on: Classical Moore and Mealy machines Time-State: Divide and Conquer Jump counters Microprogramming (ROM)

More information

Control Unit Implementation

Control Unit Implementation Control Unit Implementation Moore Machine Implementation Reset RES PC IF PC MAR, PC + PC Note capture of MBR in these states IF Wait/ IF2 Wait/ Wait/ MAR Mem, Read/Write, Request, Mem MBR Wait/ IF3 Wait/

More information

omputer Design Concept adao Nakamura

omputer Design Concept adao Nakamura omputer Design Concept adao Nakamura akamura@archi.is.tohoku.ac.jp akamura@umunhum.stanford.edu 1 1 Pascal s Calculator Leibniz s Calculator Babbage s Calculator Von Neumann Computer Flynn s Classification

More information

CPE 335. Basic MIPS Architecture Part II

CPE 335. Basic MIPS Architecture Part II CPE 335 Computer Organization Basic MIPS Architecture Part II Dr. Iyad Jafar Adapted from Dr. Gheith Abandah slides http://www.abandah.com/gheith/courses/cpe335_s08/index.html CPE232 Basic MIPS Architecture

More information

M. Sc (CS) (II Semester) Examination, Subject: Computer System Architecture Paper Code: M.Sc-CS-203. Time: Three Hours] [Maximum Marks: 60

M. Sc (CS) (II Semester) Examination, Subject: Computer System Architecture Paper Code: M.Sc-CS-203. Time: Three Hours] [Maximum Marks: 60 M. Sc (CS) (II Semester) Examination, 2012-13 Subject: Computer System Architecture Paper Code: M.Sc-CS-203 Time: Three Hours] [Maximum Marks: 60 Note: Question Number 1 is compulsory. Answer any four

More information

UNIT:2 BASIC COMPUTER ORGANIZATION AND DESIGN

UNIT:2 BASIC COMPUTER ORGANIZATION AND DESIGN 1 UNIT:2 BASIC COMPUTER ORGANIZATION AND DESIGN BASIC COMPUTER ORGANIZATION AND DESIGN 2.1 Instruction Codes 2.2 Computer Registers AC or Accumulator, Data Register or DR, the AR or Address Register, program

More information

Advanced Parallel Architecture Lesson 3. Annalisa Massini /2015

Advanced Parallel Architecture Lesson 3. Annalisa Massini /2015 Advanced Parallel Architecture Lesson 3 Annalisa Massini - 2014/2015 Von Neumann Architecture 2 Summary of the traditional computer architecture: Von Neumann architecture http://williamstallings.com/coa/coa7e.html

More information

CS 265. Computer Architecture. Wei Lu, Ph.D., P.Eng.

CS 265. Computer Architecture. Wei Lu, Ph.D., P.Eng. CS 265 Computer Architecture Wei Lu, Ph.D., P.Eng. Part 3: von Neumann Architecture von Neumann Architecture Our goal: understand the basics of von Neumann architecture, including memory, control unit

More information

PART A (22 Marks) 2. a) Briefly write about r's complement and (r-1)'s complement. [8] b) Explain any two ways of adding decimal numbers.

PART A (22 Marks) 2. a) Briefly write about r's complement and (r-1)'s complement. [8] b) Explain any two ways of adding decimal numbers. Set No. 1 IV B.Tech I Semester Supplementary Examinations, March - 2017 COMPUTER ARCHITECTURE & ORGANIZATION (Common to Electronics & Communication Engineering and Electronics & Time: 3 hours Max. Marks:

More information

Topic #6. Processor Design

Topic #6. Processor Design Topic #6 Processor Design Major Goals! To present the single-cycle implementation and to develop the student's understanding of combinational and clocked sequential circuits and the relationship between

More information

Computer Architecture and Organization: L04: Micro-operations

Computer Architecture and Organization: L04: Micro-operations Computer Architecture and Organization: L4: Micro-operations By: A. H. Abdul Hafez Abdul.hafez@hku.edu.tr, ah.abdulhafez@gmail.com, hafez@research.iiit.ac.in 1 Outlines 1. Arithmetic microoperation 2.

More information

Design Example: 4-bit Multiplier

Design Example: 4-bit Multiplier Design Example: 4-bit Multiplier Consider how we normally multiply numbers: 123 x 264 492 7380 24600 32472 Binary multiplication is similar. (Note that the product of two 4-bit numbers is potentially an

More information

CSE140 L. Instructor: Thomas Y. P. Lee. March 1, Agenda. Computer System Design. Computer Architecture. Instruction Memory design.

CSE140 L. Instructor: Thomas Y. P. Lee. March 1, Agenda. Computer System Design. Computer Architecture. Instruction Memory design. CSE4 L Instructor: Thomas Y. P. Lee March, 26 Agenda Computer System Design Computer Architecture Instruction Memory design Datapath Registers Program Counter Instruction Decoder Lab4 Simple Computer System

More information

Computer Organization and Design

Computer Organization and Design CSE211 Computer Organization and Design Lecture : 3 Tutorial: 1 Practical: 0 Credit: 4 KIDS Labs 1 Unit 1 : Basics of Digital Electronics Introduction Logic Gates Flip Flops Decoder Encoder Multiplexers

More information

Processing Unit CS206T

Processing Unit CS206T Processing Unit CS206T Microprocessors The density of elements on processor chips continued to rise More and more elements were placed on each chip so that fewer and fewer chips were needed to construct

More information

Materials: 1. Projectable Version of Diagrams 2. MIPS Simulation 3. Code for Lab 5 - part 1 to demonstrate using microprogramming

Materials: 1. Projectable Version of Diagrams 2. MIPS Simulation 3. Code for Lab 5 - part 1 to demonstrate using microprogramming CS311 Lecture: CPU Control: Hardwired control and Microprogrammed Control Last revised October 18, 2007 Objectives: 1. To explain the concept of a control word 2. To show how control words can be generated

More information

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 4: Datapath and Control

ELEC 5200/6200 Computer Architecture and Design Spring 2017 Lecture 4: Datapath and Control ELEC 52/62 Computer Architecture and Design Spring 217 Lecture 4: Datapath and Control Ujjwal Guin, Assistant Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849

More information

COMP 303 Computer Architecture Lecture 6

COMP 303 Computer Architecture Lecture 6 COMP 303 Computer Architecture Lecture 6 MULTIPLY (unsigned) Paper and pencil example (unsigned): Multiplicand 1000 = 8 Multiplier x 1001 = 9 1000 0000 0000 1000 Product 01001000 = 72 n bits x n bits =

More information

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT UNIT - V MEMORY P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents Memory: Introduction, Random-Access memory, Memory decoding, ROM, Programmable Logic Array, Programmable Array Logic, Sequential programmable

More information

JNTUWORLD. 1. Discuss in detail inter processor arbitration logics and procedures with necessary diagrams? [15]

JNTUWORLD. 1. Discuss in detail inter processor arbitration logics and procedures with necessary diagrams? [15] Code No: 09A50402 R09 Set No. 2 1. Discuss in detail inter processor arbitration logics and procedures with necessary diagrams? [15] 2. (a) Discuss asynchronous serial transfer concept? (b) Explain in

More information

CSE 140L Spring 2010 Bonus Final

CSE 140L Spring 2010 Bonus Final CSE 140L Spring 2010 Bonus Final Logistics for Bonus Final: This final should be done in individually. Use Xilinx tools version 10.1. What is due: - Report: o Submit a single report in.pdf form via email

More information

Basic Computer Organization and Design Part 2/3

Basic Computer Organization and Design Part 2/3 Basic Computer Organization and Design Part 2/3 Adapted by Dr. Adel Ammar Computer Organization Basic Computer Instructions Basic Computer Instruction Format Memory-Reference Instructions (OP-code = 000

More information

Note that none of the above MAY be a VALID ANSWER.

Note that none of the above MAY be a VALID ANSWER. ECE 270 Learning Outcome 4-1 - Practice Exam / Solution OUTCOME #4: An ability to design and implement computer logic circuits. Multiple Choice select the single most appropriate response for each question.

More information