SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

Size: px
Start display at page:

Download "SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development"

Transcription

1 SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development

2 Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2 -

3 Verilog-A - As an Extension of SmartSpice Verilog-A Verilog HDL Behavioral Higher level of abstraction Circuit Compact Model Development Switch Gate Verilog-A Inteface - 3 -

4 Verilog-A Integration into SmartSpice SmartSpice and Verilog-A integration provides designers with an easy to use environment for the design and verification of complex analog and mixed-signal circuits This integration provides the designer the capability to create executable specifications for the system design and, A powerful optimization capability for achieving those specifications VERILOG-A SUPPORTS A TOP-DOWN DESIGN METHODOLOGY Verilog-A Inteface - 4 -

5 SmartSpice - Verilog-A: Design Capability Circuit designers can control level of design abstraction All abstraction levels can be combined in one hierarchical implementation: Verilog-A Inteface - 5 -

6 Analog System Description and Simulation with Verilog-A Environment Behavioral Description Description in a programmatic fashion with the Verilog-A language The Behavioral module is defined in terms of the values for each signal Structural Description A Structural module is hierarchically comprised of other child modules Mixed-level Description Combines both Structural and Behavioral module descriptions with SPICE for execution Verilog-A Inteface - 6 -

7 Single Kernal SmartSpice Simulator Accepts SPICE netlist, C, and Verliog-A in any hierarchy Compatible with Verilog-AMS 2.1 Capability to create symbols for Verilog-A modules for use in schematic capture SmartSpice Optimizer supports dataflow of curves and parameters from Verilog-A behavioral and/or structural modules to an actual circuit architecture Optimization targets can be a combination of.ac,.dc, and.tran curves with target parameter specifications such as propagation delay, rise/fall time, power dissipation, etc. A typical good example is finding ideal transistor lengths and widths and/ or optimal bias voltages and currents Verilog-A Inteface - 7 -

8 Analog Flow Verilog-A Inteface - 8 -

9 Application 1: Analog to Digital Converter sampling clock analog in delay time ADC digital out rise/fall time Verilog-A Inteface - 9 -

10 ADC - Module Description module adc (in, clk, out) ; parameter integer bits=8 ; parameter real fullscale=1.0, dly=5n, ttime=0.2n ; input in, clk ; output [bits-1:0] out ; electrical in, clk ; electrical [bits-1:0] out ; real sample, thresh ; integer result[bits-1:0] ; integer i ; analog begin thresh = fullscale/2.0 cross( V(clk)-2.5, +1 ) ) begin sample = V(in) ; for( i=bits-1;i>=0;i=i-1 ) begin if( sample>thresh ) begin result[i] = 1 ; sample = sample - thresh ; end else result[i] = 0 ; sample = 2.0 * sample ; end end for( i=0;i<bits;i=i+1 ) begin V(out[i]) <+ transition( 5.0*result[i], dly, ttime ) ; end end endmodule Key features variable input scale variable bit width adjustable output waveform Verilog-A Inteface

11 ADC - Simulation Circuit and Results Verilog-A Inteface

12 Application 2: Shift Register Reduce simulation time while maintain the accuracy out0 out1 out255 data D Q D Q D Q D-FF D-FF D-FF CL clock clear Verilog-A Inteface

13 D-FF Modeling Techniques (1) Delay time is modeled in the following form ; td = td_0 + td_l*fanout + coef*delta_ramp the second term represents fanout-dependency the third term is introduced to take into account ramp-time of clock pulse Rise/Fall time are modeled in the same form as above except the inclusion of third term; tr = tr_0 + tr_l*fanout tf = tf_0 + tf_l*fanout Verilog-A Inteface

14 D-FF Modeling Techniques (2) Instantiate SPICE primitives to represent input capacitor. parameter real c_d = 9f, c_clk = 9f, c_clr = 12f ; capacitor #(.c(c_d)) c1(d,gnd) ; capacitor #(.c(c_clk)) c2(clk,gnd) ; capacitor #(.c(c_clr)) c3(clr,gnd) ; d clk q clr Verilog-A Inteface

15 Shift Register - Simulation Circuit Verilog-A Inteface

16 Shift Register - Simulation Results Good agreement in output waveforms compared with transistor level simulation V(CLK) Verilog-A V(O0) - rise V(O0) - fall transistor level Verilog-A Inteface

17 Application 3: PLL When designing CP block, remaining blocks can be replaced by Verilog-A module reference clock feedback clock Phase Detector (PD) up down Charge Pump (CP) Loop Filter (LPF) Divider /4 Voltage Controlled Oscillator (VCO) VCO in Verilog-A Inteface

18 PPL - VCO Modeling module pll_vco ( in, out ) ; inout in, out ; electrical in, out ; parameter real vdd = 3.3, // operational voltage amp = vdd/2, // amplitude of vout offset = vdd/2, // offset of vout gain = 464e6, // gain [Hz/V] vnom = 1.27, // nominal vin fc = 400e6; // center frequency at vnom real freq ; adjust voltage-freq gain adjust nominal input voltage adjust center-frequency analog begin freq = fc + gain*(v(in) - vnom) ; V(out) <+ amp*sin(2*`m_pi*idt(freq)) + offset ; end endmodule Verilog-A Inteface

19 PLL - Simulation Result (1) t = 0 t = 1.5us reference clock Feedback clock VCO in Verilog-A Inteface

20 PLL - Simulation Result (2) Good agreement in phase-lock characteristic compared with fulltransistor level simulation CP output voltage; full-transistor sim. Verilog-A mixed sim. Verilog-A Inteface

21 PLL - Simulation Statistics Simulation run is 15 times faster The number of transient analysis points can be reduced to half Total simulation time transient analysis time transient analysis points Case1 Verilog-A mixed 50 MOSFET 191s 186 s pts Case2 full-transistor 347 MOSFET 2951 s 2949 s pts Verilog-A Inteface

22 Compact Model Development Issues Complexity in model development and model implementation as they try to handle all processes Limited number of models are available Common platform for sharing model information between model developers and circuit designers Addressing proprietary model development ( by foundries and large IC companies) and the distribution of those models to their customers (in source or encrypted format) Verilog-A Inteface

23 SPICE Models in Analog Flow Verilog-A Inteface

24 Compact Model Development SmartSpice Verilog-A Environment allows compact model engineer to easily develop complete (.DC,.TRAN,.AC,.NOISE,.TEMP) compiled proprietary models for specific technology behavior such as leakage, weak / sub-threshold operation, TFT transistors Verilog-A Inteface

25 SmartSpice-Verilog-A Model Architecture A Verilog-A device model development and SmartSpice run-time environment Verilog-A Editor & Debugger Verilog-A Model Source Interpreter SmartSpice Circuit Simulator Compiler Verilog-A Inteface

26 Available Verilog-A Compact Model Code Three BIPOLAR and three MOS models are available for distribution free of charge to SmartSpice users to understand and improve models through their own customization BIPOLAR Gummel-Poon VBIC MEXTRAM MOS Level 3 MOS EKV 3.0 BSIM 4 Verilog-A Inteface

27 Summary SmartSpice and Verilog-A integration provides designers with an easy to use environment for the design and verification of complex analog and mixed-signal circuits Verilog-A has the capability to support complex compact model development Model implementation and distribution can be greatly simplified (Proprietary or Public) Example compact models are available Verilog-A Inteface

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Lecture 9. Introduction to Analog. Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University

Lecture 9. Introduction to Analog. Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University Lecture 9. Introduction to Analog Behavioral Description Language Jaeha Kim Mixed-Signal IC and System Group (MICS) Seoul National University jaeha@ieee.org 1 Overview Readings Verilog-A Langauge Reference

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

Analog IC Simulation. Mentor Graphics 2006

Analog IC Simulation. Mentor Graphics 2006 Analog IC Simulation Mentor Graphics 2006 Santa Clara University Department of Electrical Engineering Date of Last Revision: March 29, 2007 Table of Contents 1. Objective... 3 2. Basic Test Circuit Creation...

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

Single Vendor Design Flow Solutions for Low Power Electronics

Single Vendor Design Flow Solutions for Low Power Electronics Single Vendor Design Flow Solutions for Low Power Electronics Pressure Points on EDA Vendors for Continuous Improvements To be the leader in low power electronics circuit design solutions, an EDA vendor

More information

SmartSpice Training Program. Part 6: SmartSpice Lab Instructions

SmartSpice Training Program. Part 6: SmartSpice Lab Instructions SmartSpice Training Program Part 6: SmartSpice Lab Instructions SmartSpice Optimizer Lab For the circuit shown in Figure 2-2, the optimization targets at the temperature 75oC are: Rise delay of 3ns Fall

More information

Parameterize behavioral models using WiCkeD Modeling

Parameterize behavioral models using WiCkeD Modeling Parameterize behavioral models using WiCkeD Modeling Demonstrator: Charge Pump Phase Locked Loop (CP-PLL) Dr. Volker Glöckel Introduction Overview Motivation and Documented Use Cases Demonstrator: CP-PLL

More information

THE DESIGNER S GUIDE TO VERILOG-AMS

THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE BOOK SERIES Consulting Editor Kenneth S. Kundert Books in the series: The Designer s Guide to Verilog-AMS ISBN: 1-00-80-1 The Designer s Guide to

More information

EE 471: Transport Phenomena in Solid State Devices

EE 471: Transport Phenomena in Solid State Devices EE 471: Transport Phenomena in Solid State Devices HW7 Due: 4/17/18 For this homework, you will download a free PC version of the industry standard SPICE circuit simulator called LTspice, provided by Linear

More information

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop

SmartSpice Analog Circuit Simulator Product Update. Yokohama, June 2004 Workshop SmartSpice Analog Circuit Simulator Product Update Yokohama, June 2004 Workshop Agenda SmartSpice Products SmartSpice General Features SmartSpice New GUI SmartSpice New features Supported Models and Modeling

More information

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A NICOLAS WILLIAMS, PRODUCT MARKETING MANAGER, MENTOR GRAPHICS JEFF MILLER, PRODUCT MARKETING MANAGER, MENTOR GRAPHICS A M S D

More information

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique A 0.0066mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon,

More information

ECEN 468 Advanced Logic Design

ECEN 468 Advanced Logic Design ECEN 468 Advanced Logic Design Lecture 32: Mixed-Signal Models Explicit (Named) Branches branch (a, c) res, cap; // two parallel branches // disciplines of two points must be equivalent // V(res), I(cap)

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

PSpice with Orcad 10

PSpice with Orcad 10 PSpice with Orcad 10 1. Creating Circuits Using PSpice Tutorial 2. AC Analysis 3. Step Response 4. Dependent Sources 5. Variable Phase VSin Source Page 1 of 29 Creating Circuits using PSpice Start Orcad

More information

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation

EE115C Digital Electronic Circuits. Tutorial 2: Hierarchical Schematic and Simulation EE115C Digital Electronic Circuits Tutorial 2: Hierarchical Schematic and Simulation The objectives are to become familiar with Virtuoso schematic editor, learn how to create the symbol view of basic primitives,

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

Utmost III. Device Characterization and Modeling

Utmost III. Device Characterization and Modeling Utmost III Device Characterization and Modeling Utmost III generates accurate, high quality SPICE models for analog, mixed-signal and RF applications. Utmost III is in use worldwide by leading IDMs, foundries

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics C1 - PLL linear analysis» PLL basics» Application examples» Linear analysis» Phase error 26/03/2014-1 ATLCE - C1-2014 DDC 2014

More information

Trends and Challenges

Trends and Challenges Trends and Challenges High accuracy is required in characterization, verification & signoff Increasing design complexities: -scale design ( ) using nano-scale technologies ( ) Shrinking design margins

More information

MODELING PHASE-LOCKED LOOPS USING VERILOG

MODELING PHASE-LOCKED LOOPS USING VERILOG MODELING PHASE-LOCKED LOOPS USING VERILOG Jeffrey Meyer Director of Engineering Symmetricom, Inc. 3750 West Wind Blvd. Santa Rosa CA 95403, USA Abstract An essential component of any mixed signal embedded

More information

Reliability Simulation based on Verilog-A. Marq Kole Behavioral Modelling And Simulation conference

Reliability Simulation based on Verilog-A. Marq Kole Behavioral Modelling And Simulation conference Reliability Simulation based on Verilog-A Marq Kole Behavioral Modelling And Simulation conference September 20, 2007 Outline Device Degradation and Circuit Reliability Reliability Physics Reliability

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software Introduction Model Builder Program (MBP) is a complete modeling solution that integrates SPICE simulation, model parameter

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 Ritafaria D 1, Thallapalli Saibaba 2 Assistant Professor, CJITS, Janagoan, T.S, India Abstract In this paper

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology

High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology High Speed CMOS Charge Pump Circuit for PLL Applications Using 180nm CMOS Technology Ronak J. Patel 1, Shaishav P. Patel 2, Nilesh D. Patel 3 1 PG Student, CSPIT, Changa, 2 PG Student, LCIT, Bhandu, 3

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 18. Introduction to Verilog-A/Verilog-AMS

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 18. Introduction to Verilog-A/Verilog-AMS EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 18. Introduction to Verilog-A/Verilog-AMS References D. Fitzpatrick and I. Miller, Analog Behavior Modeling with the Verilog-A Language,

More information

Tutorial: How to (and How NOT to) Write a Compact Model in Verilog-A

Tutorial: How to (and How NOT to) Write a Compact Model in Verilog-A 2004 IEEE Behavioral Modeling and Simulation Conference (BMAS2004) Tutorial: How to (and How NOT to) Write a Compact Model in Verilog-A Geoffrey Coram Analog Devices, Inc. athe World Leader in High Performance

More information

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last

ECE 546 HOMEWORK No 10 Due Thursday, April 19, yes last ECE 546 HOMEWORK No 10 Due Thursday, April 19, 2018 In this homework you will extract the pulse response of the given channel, extract the decision feedback equalization (DFE) coefficients to equalize

More information

Comprehensive design and verification with the industry s leading simulators

Comprehensive design and verification with the industry s leading simulators Comprehensive design and verification with the industry s leading simulators Cadence Virtuoso Multi-Mode Simulation combines industry-leading simulation engines to deliver a complete design and verification

More information

Exp#8: Designing a Programmable Sequence Detector

Exp#8: Designing a Programmable Sequence Detector Exp#8: Designing a Programmable Sequence Detector Objectives Learning how to partition a system into data-path and control unit. Integrating Schematics and Verilog code together Overview In this lab you

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter

Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Cadence Tutorial: Schematic Entry and Circuit Simulation of a CMOS Inverter Introduction This tutorial describes the steps involved in the design and simulation of a CMOS inverter using the Cadence Virtuoso

More information

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it. MODELING LANGUAGES AND ABSTRACT MODELS Giovanni De Micheli Stanford University Chapter 3 in book, please read it. Outline Hardware modeling issues: Representations and models. Issues in hardware languages.

More information

Efficient Modeling and Verification of Analog/Mixed-Signal Circuits

Efficient Modeling and Verification of Analog/Mixed-Signal Circuits Efficient Modeling and Verification of Analog/Mixed-Signal Circuits Scott R. Little University of Utah Motivation 1 About 75 percent of all chips include analog circuits. These circuits make up 2 percent

More information

Introduction to laboratory exercises in Digital IC Design.

Introduction to laboratory exercises in Digital IC Design. Introduction to laboratory exercises in Digital IC Design. A digital ASIC typically consists of four parts: Controller, datapath, memory, and I/O. The digital ASIC below, which is an FFT/IFFT co-processor,

More information

Introduction. Purpose. Intended Audience. Conventions. Close

Introduction. Purpose. Intended Audience. Conventions. Close Introduction Introduction Verilog-XL is a simulator that allows you to test the logic of a design. The process of logic simulation in Verilog-XL is as follows: 1. Describe the design to Verilog-XL. 2.

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2 Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology Basic Sample and Hold Element Prof. Paul Hasler Georgia Institute of Technology Sample and Hold Elements Sample and Hold Elements Amplitude (Hold) (Sample) (Hold) Time Sample and Hold Elements Amplitude

More information

CCS Technical Documentation NHL-2NA Series Transceivers. Camera Module

CCS Technical Documentation NHL-2NA Series Transceivers. Camera Module CCS Technical Documentation NHL-2NA Series Transceivers Issue 1 07/02 Nokia Corporation NHL-2NA CCS Technical Documentation [This page left intentionally blank] Page 2 Nokia Corporation Issue 1 07/02 CCS

More information

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC

TUTORIAL 1. V1.1 Update on Sept 17, 2003 ECE 755. Part 1: Design Architect IC TUTORIAL 1 V1.1 Update on Sept 17, 2003 ECE 755 Part 1: Design Architect IC DA-IC provides a design environment comprising tools to create schematics, symbols and run simulations. The schematic editor

More information

EECS150 - Digital Design Lecture 8 - Hardware Description Languages

EECS150 - Digital Design Lecture 8 - Hardware Description Languages EECS150 - Digital Design Lecture 8 - Hardware Description Languages September 19, 2002 John Wawrzynek Fall 2002 EECS150 - Lec08-HDL Page 1 Netlists Design flow What is a HDL? Verilog history examples Outline

More information

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys Universal Verification Methodology (UVM)-based Random Verification through VCS and CustomSim in Analog Mixed-signal Designs for Faster Coverage Closure Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Introduction to Verilog design. Design flow (from the book)

Introduction to Verilog design. Design flow (from the book) Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 1 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title Verilog HDL A Guide to Digital Design and Synthesis Samir Palnitkar SunSoft Press A Prentice Hall Title Table of Contents About the Author Foreword Preface Acknowledgments v xxxi xxxiii xxxvii Part 1:

More information

High-side Power Distribution Switch NCT3521U

High-side Power Distribution Switch NCT3521U High-side Power Distribution Switch NCT3521U -Table of Content- 1. GENERAL DESCRIPTION...1 2. FEATURES...1 3. APPLICATIONS...2 4. PIN CONFIGURATION AND DESCRIPTION...2 5. TYPICAL APPLICATION CIRCUIT...3

More information

RW CH Segment Driver

RW CH Segment Driver Functions: Dot matrix LCD driver with two 40 channel outputs Bias voltage (V1 ~ V4) Input/output signals Input : Serial display data and control pulse from controller IC Output : 40 X 2 channels waveform

More information

PSpice Analog and mixed signal simulation

PSpice Analog and mixed signal simulation PSpice Analog and mixed signal simulation You can count on PSpice for accurate circuit simulation results and regular innovations. PSpice has been tried and proven by thousands of engineers. Since the

More information

Previous versions supported SIMPLIS only. Now DVM has been enhanced to allow design verification using the SIMetrix simulator.

Previous versions supported SIMPLIS only. Now DVM has been enhanced to allow design verification using the SIMetrix simulator. RELEASE NOTES VERSION 6.1 O VERVIEW This document describes SIMetrix and SIMetrix/SIMPLIS version 6.1 DESIGN VERIFICATION MODULE SUPPORT FOR SIMETRIX Previous versions supported SIMPLIS only. Now DVM has

More information

1. Working with PSpice:

1. Working with PSpice: Applied Electronics, Southwest Texas State University, 1, 13 1. Working with PSpice: PSpice is a circuit simulator. It uses the Kirchhoff s laws and the iv-relation of the used components to calculate

More information

Dynamic CMOS Logic Gate

Dynamic CMOS Logic Gate Dynamic CMOS Logic Gate In dynamic CMOS logic a single clock can be used to accomplish both the precharge and evaluation operations When is low, PMOS pre-charge transistor Mp charges Vout to Vdd, since

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation

Laboratory 3. EE 342 (VLSI Circuit Design) - Using Spectre netlist and Calculator for simulation EE 342 (VLSI Circuit Design) Laboratory 3 - Using Spectre netlist and Calculator for simulation By Mulong Li, 2013 1 Background knowledge Spectre: is a SPICE-class circuit simulator. It provides the basic

More information

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016

LTSPICE MANUAL. For Teaching Module EE4415 ZHENG HAUN QUN. December 2016 LTSPICE MANUAL For Teaching Module EE4415 ZHENG HAUN QUN December 2016 DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINNERING NATIONAL UNIVERSITY OF SINGAPORE Contents 1. Introduction... 2 1.1 Installation...

More information

AccuCell Technical Training. AccuCell Commands & Concepts

AccuCell Technical Training. AccuCell Commands & Concepts AccuCell Technical Training AccuCell Commands & Concepts Agenda Introduction to AccuCell and AccuCore Cell Characterization Introduction to AccuCell Getting Started with Accucell Methods of Characterization

More information

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture Behavioral Simulation Exercises Michael H Perrott August 13, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. A

More information

TR1002. PLL for DTS IC. Data Sheet

TR1002. PLL for DTS IC. Data Sheet TR1002 PLL for DTS IC Data Sheet tenx reserves the right to change or discontinue the manual and online documentation to this product herein to improve reliability, function or design without further notice.

More information

CHAPTER 4 DUAL LOOP SELF BIASED PLL

CHAPTER 4 DUAL LOOP SELF BIASED PLL 52 CHAPTER 4 DUAL LOOP SELF BIASED PLL The traditional self biased PLL is modified into a dual loop architecture based on the principle widely applied in clock and data recovery circuits proposed by Seema

More information

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements. Contemporary Design We have been talking about design process Let s now take next steps into examining in some detail Increasing complexities of contemporary systems Demand the use of increasingly powerful

More information

ANALOG MODELING WITH VERILOG-A USING CADENCE TOOLS. Eng. Sherief Fathi

ANALOG MODELING WITH VERILOG-A USING CADENCE TOOLS. Eng. Sherief Fathi ANALOG MODELING WITH VERILOG-A USING CADENCE TOOLS Eng. Sherief Fathi Hardware Description Language (HDL) In electronics, a hardware description language (HDL) is a specialized computer language used to

More information

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero Agenda Introduction Mixed-Signal Systems on Chips Link to White Paper Model accuracy and trade-offs Good

More information

A Novel DPS Integrator for Fast CMOS Imagers

A Novel DPS Integrator for Fast CMOS Imagers ISCAS 08: A Novel DPS for Fast CMOS Imagers Intro Reset-Issues Novel-PDM CMOS Results Conclusions 1/17 A Novel DPS Integrator for Fast CMOS Imagers J. M. Margarit, J. Sabadell, L. Terés and F. Serra-Graells

More information

Verilog-A Debug Tool: AHDL Linter

Verilog-A Debug Tool: AHDL Linter Verilog-A Debug Tool: AHDL Linter Jushan Xie, Qingping Wu, Art Schaldenbrand, and Andre Baguenier Cadence Design Systems, Inc. Dec. 6, 2017 Beauty of Using Behavioral Modeling Behavioral modeling is the

More information

register:a group of binary cells suitable for holding binary information flip-flops + gates

register:a group of binary cells suitable for holding binary information flip-flops + gates 9 차시 1 Ch. 6 Registers and Counters 6.1 Registers register:a group of binary cells suitable for holding binary information flip-flops + gates control when and how new information is transferred into the

More information

PSpice Tutorial. Physics 160 Spring 2006

PSpice Tutorial. Physics 160 Spring 2006 PSpice Tutorial This is a tutorial designed to guide you through the simulation assignment included in the first homework set. You may either use the program as installed in the lab, or you may install

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun

MENTOR GRAPHICS IC DESIGN MANUAL. Schematic & Simulation. Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun MENTOR GRAPHICS IC DESIGN MANUAL Schematic & Simulation By Gun Jun K Praveen Jayakar Thomas Zheng Huan Qun August 2004 Signal Processing & VLSI Design Laboratory Department of Electrical & Computer Engineering

More information

Laboratory Manual 1, MSPS. Introduction to Behavioral-Level Simulation

Laboratory Manual 1, MSPS. Introduction to Behavioral-Level Simulation No Rev Date Repo Page 0001 B 2011-09-07 MSPS 1 of 33 Title Introduction to Behavioral-Level Simulation File MSPS_0001_LM_cadenceBehavioral_B.odt Type EX -- Laboratory Manual 1, Area MSPS ES : docs : courses

More information

Verilog-A Standardization for Compact Modeling

Verilog-A Standardization for Compact Modeling Verilog-A Standardization for Compact Modeling Marek Mierzwinski Santa Rosa, CA MOS-AK /GSA Workshop December, 2011 Washington, DC Outline A quick history Why Verilog-A has become the language of choice

More information

Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial

Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Alfred Sargezi & Zain Ali AMS Group - San Jose State

More information

CrossLink sysclock PLL/DLL Design and Usage Guide

CrossLink sysclock PLL/DLL Design and Usage Guide CrossLink sysclock PLL/DLL Design and Usage Guide FPGA-TN-02015 Version 1.1 July 2016 Contents Acronyms in This Document... 4 1. Introduction... 5 2. Clock/Control Distribution Network... 5 3. CrossLink

More information

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 310/1780-18 ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 Design Methodology Tools Jorgen CHRISTIANSEN PH-ED CERN CH-1221 Geneva

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

Verilog Tutorial (Structure, Test)

Verilog Tutorial (Structure, Test) Digital Circuit Design and Language Verilog Tutorial (Structure, Test) Chang, Ik Joon Kyunghee University Hierarchical Design Top-down Design Methodology Bottom-up Design Methodology Module START Example)

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

Real Portable Models for System/Verilog/A/AMS

Real Portable Models for System/Verilog/A/AMS Real Portable Models for System/Verilog/A/AMS, Inc. Sudbury, MA This talk presents a mixed-signal modeling methodology that uses discrete-time real variables to represent voltages and currents, and is

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 11 Introduction to Verilog II Sequential Circuits OBJECTIVES: To understand the concepts

More information

A Platform for Compact Model Sharing!

A Platform for Compact Model Sharing! interactive Modeling and Online Simulation Platform A Platform for Compact Model Sharing! Hao Wang, Mansun Chan! Department of ECE, HKUST! THE ROLE OF COMPACT MODELS! v Device à Models à Simulator à Applications

More information

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007 AccuCore SPICE Accurate Core Characterization with STA Silvaco Japan Technology Seminar Spring 2007 What is AccuCore? Why would I use it? AccuCore performs automatic block SPICE characterization and Static

More information

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8)

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8) HDL-BASED SYNTHESIS Modern ASIC design use HDL together with synthesis tool to create

More information

Correlated Double Sampler (CDS) AD9823

Correlated Double Sampler (CDS) AD9823 Correlated Double Sampler (CDS) AD9823 FEATURES 40 MHz correlated double sampler (CDS) Fixed 3.5 db CDS gain Low noise optical black clamp circuit 3 V single-supply operation 4-lead TSSOP package CCDIN

More information

Alfred Sargezi & Zain Ali. AMS Group - San Jose State University ams.sjsu.edu

Alfred Sargezi & Zain Ali. AMS Group - San Jose State University ams.sjsu.edu Cadence Inverter Transistor Sizing Tutorial Cadence Inverter Ocean Introduction Cadence Inverter Corners Tutorial Cadence Inverter VerilogA Tutorial Cadence Inverter Vout vs Vin Tutorial Alfred Sargezi

More information

תכן חומרה בשפת VERILOG הפקולטה להנדסה

תכן חומרה בשפת VERILOG הפקולטה להנדסה תכן חומרה בשפת VERILOG סמסטר ב' תשע"ג משה דורון מרצה: מתרגלים: אריאל בורג, חג'ג' חן הפקולטה להנדסה 1 Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

DDS DAC Output Evaluation Board AD9858PCB

DDS DAC Output Evaluation Board AD9858PCB DDS DAC Output Evaluation Board AD9858PCB INTRODUCTION The AD9858 is a 1 GHz direct digital synthesizer (DDS) featuring a 10-bit DAC, an RF mixer, and on-chip PLL synthesis blocks. Used in conjunction,

More information

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page.

There are three windows that are opened. The screen that you will probably spend the most time in is the SCHEMATIC page. Pspice Tutorial Create a new project and select Analog or Mixed A/D. Choose an appropriate project name and a path. A new window pop up with the Pspice project type, select Create a blank project and click

More information

Cadence simulation technology for PCB design

Cadence simulation technology for PCB design DATASHEET CADENCE SIMULATION FOR PCB DESIGN On larger designs especially, PCB design teams need fast and reliable simulation to achieve convergence. Cadence simulation technology for PCB design offers

More information

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering

Verilog Fundamentals. Shubham Singh. Junior Undergrad. Electrical Engineering Verilog Fundamentals Shubham Singh Junior Undergrad. Electrical Engineering VERILOG FUNDAMENTALS HDLs HISTORY HOW FPGA & VERILOG ARE RELATED CODING IN VERILOG HDLs HISTORY HDL HARDWARE DESCRIPTION LANGUAGE

More information