A Universal Test Pattern Generator for DDR SDRAM *

Size: px
Start display at page:

Download "A Universal Test Pattern Generator for DDR SDRAM *"

Transcription

1 A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect all the faults. To test effectively the memories, at least two march algorithms are used, however increasing the hardware cost. The double data rate synchronous dynamic random access memory (DDR SDRAM) is one of the promising memory products of today. To meet the market requirements, various specifications of DDR SDRAMs are manufactured. In this paper, a universal test pattern generator has been proposed for testing the various DDR SDRAMs.. Introduction To respond the memory chip market demand of high speed/price, the double data rate synchronous dynamic random access memory (DDR SDRAM) achieves high speed double-data-rate transfer rates of up to 533 Mb/sec/pin (i.e., DDR-533). Typical faults in memories include the address decoder faults (AFs), stuck-at faults (SAFs), transition faults (TFs), stuck open faults (SOFs), coupling faults Abstract (CFs), neighborhood pattern sensitive faults (NPSFs), and data retention faults (DRFs) []. For Because the memory cores can be used to save the instructions/data, the memory cores are frequently used within the system-on-a-chips (SOCs). The quality of the SOCs is mainly determined by the memory cores. However, due to the complicated fabrication process, the fault models of the memories are more complicated than the fault models of the logical cores. So far, more than 40 march algorithms have been proposed; however; no any single march algorithm can be *This work was supported in part by the National Science Council of R.O.C. under contract NSC E testing such faults of the memories, it is shown that the march algorithms are superior to the non-march algorithms [2]. So far, for testing all the faults in the memories, no 00% fault coverage can be obtained by any single march algorithm [3]. To obtain a satisfied high fault coverage, several march algorithms are required to test a single memory chip. In [4], a controller-based programmable built-in self-test (BIST) core with three march algorithms, MATS++, March X, and March C_, for embedded EDO DRAM is presented. We have previously presented a low cost cyclic shift register () based test pattern generator (TPG) to test the SRAMs/DRAMs [5]. The test patterns of any

2 march algorithm can be generated by using such a high flexible TPG. Although the based TPG can generate the various read/write operations of the march algorithms, however, for testing the DDR (SDRAM), not only the read/write commands but also the various characteristics including the CAS latency (CL), burst length (BL), initialization, activation, and refresh operations, are also considered. Besides, various specifications of DDRs with various data transfer speeds, CLs and BLs are manufactured to meet the market requirements. In this paper, extending the based TPG, a universal TPG is proposed to test the DDRs. To avoid the data overlapping problem in the DDR, some NOP commands are required to interleave between two consecutive READ/WRITE commands. The number of required interleaved NOP commands is dependent on the lengths of BL and CL of the various DDRs. In the proposed TPG, not only the test patterns of any march algorithm can be generated but also the interleaved NOP commands can be produced to test the various DDRs. The organization of this paper is as follows. The DDRs are firstly introduced in Section 2. Section 3 reviews the commonly used notations of march algorithms and introduces previous work. Sections 4 and 5 detail the proposed test flow and test pattern generator. Finally the conclusions are given in Section Characteristics of DDRs Before discussing the BIST scheme, the characteristics of the DDR are introduced. The simplified functional block diagram of DDR is shown in Fig.. Three kinds of buses, Command, Address, and Data, are used to handle the DDR and access the data. In the Command Bus, the control lines, CS, RAS, CAS, and WE, are used to trigger the actions of chip select, row address strobe, column address strobe, and write enable, respectively. From the most significant bit (MSB) to the least significant bit (LSB), the addresses of the DDR are divided into three parts, i.e., bank address (BA), row address (RA), and column address (CA). In the DDR, the bit number of BA is two. The RA and CA are multiplexed by using the same bus wires. Via the Command and Address Buses, eight commands, NOP (no operation), ACT (to activate selected bank/row address), READ (to start read operation), WRITE (to start write operation), BST (to terminate burst operation), PRE (to start pre-charge operation), AR (to start auto refresh), and LMR (to load mode register), are used to handle the DDR. { CS RAS Command CAS Data DDR WE SDRAM BA Address { RA/CA CK Fig.. Simplified functional block diagram of DDR. At the first time, the DDR is initialized by running the NOP, PRE, LMR, LMR, PRE, AR, AR, and ACT commands in order. Then the normal read/write operations are proceeded. Due to the characteristics of CAS latency and burst length, some NOP commands are required to interleave between the consecutive read/write operations to avoid the data-overlapping problem. During the normal read/write operation, some ACT commands are required to activate the row addresses. Besides, one AR and several NOP commands are required to auto refresh the whole DDR. To test the DDR completely, the above procedure must be gone through. To save the testing time, the number of interleaved NOP commands between consecutive read/write commands should be minimized. Table tabulates the BLs, CLs, and required minimal number of NOP commands of Micron s DDR [6]. Table. Characteristics of Micron s DDR. BL CL Read-Read Write-Write Read-Write Write-Read 2 The values of BLs and CLs may be different for different manufacturers. For example, the values of BLs and CLs of DDR manufactured by Etron Technology, Inc. are (, 2, 4, 8) and (, 2, 3),

3 respectively [7]. Therefore, the required minimal number of NOP commands is distinct. To test the DDRs efficiently, the based TPG in [5] is extended in this paper. For different BLs and CLs, the proposed TPG can be used to test the various DDRs. Before discussing such a TPG, the march algorithms and the based TPG are reviewed in the next section. 3. Related Work 3. Review of March Algorithms Without loosing of generality, assume an m-step march algorithm with each step (denoted by S i ) having at most k read/write operations is expressed as: <march algorithm> ::= {<S i > i =,, m} () <S i > ::= <address order> ((<op j > j =,, k)) or del <address order> ::= (up) or (down) or (either up or down) <op j > ::= r0 or r or w0 or w where the notation r (w) is the read (write) operation and notation 0 () is the non-inverted (inverted) data backgrounds (DBs) [], respectively. For a W-bit memory chip, G (= log2 W +) inverted (non-inverted) data backgrounds are required. For example, the 4-bit non-inverted (inverted) data backgrounds are (0, 0, 0, 0), (0,, 0, ), and (0, 0,, ) ((,,, ), (, 0,, 0), and (,, 0, 0)). Thereafter we call the r (w) and 0 () in Eq. (), the r/w signals and the r/w data, respectively. The number of operations within a step is called its operation length. For example, in the following, the operation lengths of the st, 2 nd, and 3 rd march step of the MATS+ algorithm is one, two, and two, respectively. MATS+ algorithm []: { (w0); (r0, w); (r, w0)}. (2) To reduce the testing time, few march algorithms are presented in [8, 9] where the data backgrounds for each march step may be different. The march algorithms can also be implemented by the based TPG. However, the most algorithms are still used to test the memories by the following procedure: Test Procedure for Word-Oriented Memories: Step (): Choose an un-used data background. Step (2): Run march algorithm. Step (3): When the march algorithm is gone through, if there is any data background is un-used then repeat from Step (), else exit. In this paper, the above procedure will be extended to test the DDRs. 3.2 Cyclic Shift Registers In [5], a pair of cyclic shift registers (s) are used to generate the read/write signals and data, respectively. As shown in Fig. 2, a k-stage cyclic shift register () is composed of (k-) 2-to- multiplexers (MUXs) and k D flip-flops (F/Fs). Each MUX is controlled by the variable, c i (i =, 2,, k-). Only the variable c L (where L is the operation length) is one and the remaining variables are zero such that the output signal from Q is feedback to D L. Thus, two s with a seed of r/w data and signals can be used to generate the r/w operations of steps. For example, two 2-stage s are used for the MATS+ algorithm. The r/w operations of the st (2 nd ) step, (w0) ((r0, w)), can be generated by a pair of 2-stage s with a seed of (w0) ((r0, w)) and the variable (c ) = () ((0)). clk k c k- 0 k c 2 c Fig. 2 A k-stage cyclic shift register. output A simplified block diagram of based TPG is shown in Fig. 3, a pair of s, RW and DATA, are used to generate the r/w operations of each march step. The data backgrounds and the up/down consecutive addresses are generated by the DB and, respectively. The whole process of the march algorithm is handled by the Test Controller. 4. Design of Test Pattern Generator for DDRs 4. Test Flow for DDRs

4 Test Controller RW DATA DB Fig. 3 Simplified block diagram of based TPG. DRAM / SRAM During the DDR testing, not only the read/write operations of the march algorithms but also some conditions, including the initialization, auto-refresh, activation, BLs, CLs, and the number of NOP commands required to interleave between the read/write operations, are considered. In the following, a systematic test flow for the DDR is proposed. Test Procedure for DDRs: Step (): Initialize the DDR by running the NOP, PRE, LMR, LMR, PRE, AR, AR, and ACT commands in order. Step (2): Choose the un-used combinations of BL and CL. Step (3): Choose the un-used data background. Step (4): According to the march steps of the desired march algorithm, apply READ/WRITE commands, the interleaved NOP commands, and data to the DDR. Step (5): Perform the auto-refresh action by running the AR commands. Step (6): Perform the row address activation by running the ACT and two NOP commands in order. Step (7): If the march algorithm is not finished then repeat from Step (4) else continue. Step (8): If the data backgrounds are not exhausted then repeat from Step (3) else continue. Step (9): If the combinations of BL and CL are not exhausted then repeat from Step (2) else exit this procedure. interleaved NOP generator (ING) is presented and shown in Fig. 4 where the NOP ROM is used to store the number of interleaved NOP commands. When the inputs of NOP ROM, BL, CL, the first operation p and the second operation p 2 of, are ready, then the number of interleaved NOP command between p and p 2 is appeared at the NOP ROM output. For example, in Table, the number of interleaved NOP command between the READ/WRITE command is four when BL = 8 and CL = 2. The operation of ING is stated. At first, the first r/w operation in the, p = OP, is fed to the output via the MUX, then the MUX is switched to the opposite data input and the nop signal is applied to the output. The number of nop appeared at the output is the same as the content of the NOP Counter. When the content of the counter is equal to the output value of the NOP ROM. The MUX is switched again to the opposite data input. Meanwhile, an output signal from the Comparator is used to trigger the to shift right one bit (now, p = OP 2 ) and reset the NOP Counter, respectively. Such a procedure is generated repeatedly until all the r/w operations of the march algorithm are exhausted. Then the r/w operations of the and the nop signal will be proceeded to generate the READ, WRITE, and NOP commands in the next stage. For different specifications of BLs and CLs, only the contents of NOP ROM are required to update, thus the additional hardware overhead can be reduced. nop op k op 2 op p 2 p BL NOP ROM CL Comparator MUX Output 4.2 Interleaved NOP Generator As described previously, distinct combinations of BLs and CLs of DDRs may be existed by different semiconductor manufactures. To meet the test requirements of different BLs and CLs, an NOP Counter Fig. 4 The interleaved NOP generator. 4.3 Up/Down Address Generator Compared to the consecutive address generation

5 of the SRAM, the address is generated at BL intervals to test the DDR. The up/down addresses are expressed as follows: ( address) present + BL, if order is up ( address) next = (3) ( address) present - BL, if order is down As shown in Fig. 5, to generate the up/down addresses, a register array and a full adder/subtracter are utilized. When the address order is up (down), the register is initialized to all-zero (all-one) and use a full adder (subtracter) such that the next content of the register array is the present content of the register array plus (minus) the value of burst length (BL). BL Up/Dw Seed Full Adder / Subtracter Next Address Register Array Present Address Fig. 5 Block diagram of Address Generator. Meanwhile, the Burst Type of the mode register (MR) in the DDR is set to be sequential (interleaved) with an all-zero (all-one) starting column address, when the address order of the march step is up (down). Then the burst addresses in up (down) order are i, i+,, i+bl- (i, i-,, i-bl+) where i is the present address. After describing the generators of NOP commands and up/down addresses, the architecture of the proposed TPG will be stated in the next section. 5. Architecture of the Proposed TPG As shown in Fig. 6, eight main blocks, () Test Controller, (2) RW, (3) ING, (4) Command ROM, (5) ROM, (6) DATA, (7) DB, and (8), are used to constitute the proposed TPG for testing the DDRs. Test Controller RW DATA ROM Other Commands ING DB MUX MUX Command ROM Command Dat a Address Fig. 6 Block diagram of DDR TPG. DDR SDRAM The Test Controller block is used to control the overall testing procedure as stated in Subsection 4.. During the DDR testing, except the read/write/nop signals (commands), the other commands (signals) including the initialization, auto refresh, and activation signals are generated by the Test Controller. The RW block is used to generate the read/write operations of each march step, then the read/write and the interleaved nop signals are generated by the ING block. These signals are converted into the commands by the Command ROM and ROM. The commands of DDR are tabulated in Table 2. To apply the commands to the DDR, the Command Bus and Address Bus are needed. Therefore, as tabulated in Table 2, the blocks, Command ROM and ROM, are used to store the command messages and address messages, respectively. The read/write data of each march step, i.e., 0 and, are generated by the DATA, then the non-inverted and inverted data backgrounds are produced by the DB. As detailed in Subsection 4.3, the up/down addresses are generated by the. Table 2. The commands of DDR [6]. Command ROM Name ROM Command Bus CS RAS CAS WE Bus NOP 0 X ACT 0 0 BA/RA READ 0 0 BA/CA WRITE BA/CA BST 0 0 X PRE AR X LMR MR 3. X means don t care. 2. A 0 is high and the remaining bits of are don t care. 3. The BL and CL are defined in the MR by using the BA, RA, and CA. For testing a 52Mb (32M*6) Micron DDR chip with three march algorithms, MATS++, March X, and March C_, the proposed test pattern generator has been implemented by a hardware description language - Verilog and incorporated into an Altera device, EPF0K0TC44-3. In the following, a simulated timing diagram is shown in Fig. 7. According to the report of 0.35 µ m cell library of Glax! Inc, the gate count is 953. Compared to the BIST logic overhead of Mb*4

6 Extended Data-Out (EDO) DRAM in [4], which requires between 2000 to 3000 gates, the hardware overhead of the proposed TPG is acceptable. Fig. 7 The simulated timing diagram of proposed TPG. 6. Conclusions To meet the market requirements, various DDRs have been manufactured. Moreover, different NOP commands are required to interleave between two consecutive READ/WRITE commands. Therefore, the conventional march algorithms are required to extend to test the DDR, i.e., minimal NOP commands are needed to interleave between read/write operations. In this paper, a test flow of DDR is firstly discussed. Then a universal test pattern generator for various DDRs is presented. The architecture of the proposed TPG has been realized for testing a 52Mb DDR and it is reported that the associated hardware overhead is acceptable. [4] C. T. Huang, J. R. Huang, C. F. Wu, C. W. Wu, and T. Y. Chang, "A Programmable BIST Core for Embedded DRAM," IEEE Design and Test of Computers, pp , Jan.-March 999. [5] W. L. Wang, K. J. Lee, and J. F. Wang, An On-Chip March Pattern Generator for Testing Embedded Memory Cores, IEEE Trans. on VLSI, vol. 9, no. 5, Oct. 200, pp [6] [7] etron.com. [8] C. W. Wang, C. F. Wu, J. F. Li, C. W. Wu, T. Teng, K. Chiu, H. P. Lin, A Built-In Self-Test and Self-Diagnosis Scheme for Embedded SRAM, Proc. of Asian Test Symposium, 2000, pp [9] A. J. van de Goor, I. B. S. Tlili, March Tests for Word-Oriented Memories, Proc. Design, Automation and Test in Europe, 998, pp REFERENCES [] A. J. van de Goor, Testing Semiconductor Memories, Theory and Practice, Gouda, The Netherlands: ComTex, 998. [2] V. K. Kim and T. Chen, On Comparing Functional Fault Coverage and Defect Coverage for Memory Testing, IEEE Trans. on CAD, vol. 8, no., pp , Nov [3] K. L. Cheng, M. F. Tsai, and C. W. Wu, Efficient Neighborhood Pattern-Sensitive Fault Test Algorithms for Semiconductor Memories, Proc. of 9 th VLSI Test Symposium, 200, pp

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Amruta P. Auradkar # and Dr. R. B. Shettar * # M.Tech.,2 nd year, Digital Electronics,

More information

RAM Testing Algorithms for Detection Multiple Linked Faults

RAM Testing Algorithms for Detection Multiple Linked Faults RAM Testing Algorithms for Detection Multiple Linked Faults V.G. Mikitjuk, V.N. Yarmolik, A.J. van de Goor* Belorussian State Univ. of Informatics and Radioelectronics, P.Brovki 6, Minsk, Belarus *Delft

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

Hardware Sharing Design for Programmable Memory Built-In Self Test

Hardware Sharing Design for Programmable Memory Built-In Self Test International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 6 (June 2014), PP.77-83 Hardware Sharing Design for Programmable Memory

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week)

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + (Advanced) Computer Organization & Architechture Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + Outline 2. The computer system 2.1 A Top-Level View of Computer Function and Interconnection 2.2 Cache Memory

More information

CS650 Computer Architecture. Lecture 9 Memory Hierarchy - Main Memory

CS650 Computer Architecture. Lecture 9 Memory Hierarchy - Main Memory CS65 Computer Architecture Lecture 9 Memory Hierarchy - Main Memory Andrew Sohn Computer Science Department New Jersey Institute of Technology Lecture 9: Main Memory 9-/ /6/ A. Sohn Memory Cycle Time 5

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan

Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan Chapter 7 Memory Testing Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Importance of Embedded Memories RAM

More information

The Memory Component

The Memory Component The Computer Memory Chapter 6 forms the first of a two chapter sequence on computer memory. Topics for this chapter include. 1. A functional description of primary computer memory, sometimes called by

More information

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy Abstract This paper work leads to a working implementation of a Low Power DDR SDRAM Controller that is meant to be used as a reference for

More information

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection *

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * Luigi Dilillo Patrick Girard Serge Pravossoudovitch Arnaud Virazel Laboratoire d Informatique, de Robotique et de Microélectronique

More information

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair C. Padmini Assistant Professor(Sr.Grade), ECE Vardhaman college of Engineering, Hyderabad, INDIA

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 5: Zeshan Chishti DRAM Basics DRAM Evolution SDRAM-based Memory Systems Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science

More information

Computer Organization. 8th Edition. Chapter 5 Internal Memory

Computer Organization. 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory Types Memory Type Category Erasure Write Mechanism Volatility Random-access memory (RAM)

More information

Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master nc... Freescale Semiconductor, I Melissa Hunter TECD App

Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master nc... Freescale Semiconductor, I Melissa Hunter TECD App Application Note AN2247/D Rev. 0, 1/2002 Interfacing the MCF5307 SDRAMC to an External Master Melissa Hunter TECD Applications This application note discusses the issues involved in designing external

More information

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Mr. Rakesh Manukonda M.Tech. in VLSI &ES, MLEC, Singarayakonda, Mr. Suresh Nakkala Asst. Prof. in E.C.E MLEC,

More information

Memory Systems for Embedded Applications. Chapter 4 (Sections )

Memory Systems for Embedded Applications. Chapter 4 (Sections ) Memory Systems for Embedded Applications Chapter 4 (Sections 4.1-4.4) 1 Platform components CPUs. Interconnect buses. Memory. Input/output devices. Implementations: System-on-Chip (SoC) vs. Multi-Chip

More information

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory A Parametric Design of a Built-in Self-Test FIFO Embedded Memory S. Barbagallo, M. Lobetti Bodoni, D. Medina G. De Blasio, M. Ferloni, F.Fummi, D. Sciuto DSRC Dipartimento di Elettronica e Informazione

More information

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts Hardware/Software Introduction Chapter 5 Memory Outline Memory Write Ability and Storage Permanence Common Memory Types Composing Memory Memory Hierarchy and Cache Advanced RAM 1 2 Introduction Memory:

More information

Embedded Systems Design: A Unified Hardware/Software Introduction. Chapter 5 Memory. Outline. Introduction

Embedded Systems Design: A Unified Hardware/Software Introduction. Chapter 5 Memory. Outline. Introduction Hardware/Software Introduction Chapter 5 Memory 1 Outline Memory Write Ability and Storage Permanence Common Memory Types Composing Memory Memory Hierarchy and Cache Advanced RAM 2 Introduction Embedded

More information

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller Quest Journals Journal of Electronics and Communication Engineering Research ISSN:2321-5941 Volume1 ~ Issue 2 (2013) pp: 01-06 www.questjournals.org Research Paper A VLSI Implementation of High Speed FSM-based

More information

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory Semiconductor Memory Types Semiconductor Memory RAM Misnamed as all semiconductor memory is random access

More information

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST)

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Sharvani Yedulapuram #1, Chakradhar Adupa *2 # Electronics and Communication

More information

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory The basic element of a semiconductor memory is the memory cell. Although a variety of

More information

Design with Microprocessors

Design with Microprocessors Design with Microprocessors Lecture 12 DRAM, DMA Year 3 CS Academic year 2017/2018 1 st semester Lecturer: Radu Danescu The DRAM memory cell X- voltage on Cs; Cs ~ 25fF Write: Cs is charged or discharged

More information

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults Artur Jutman Tallinn University of Technology artur@pld.ttu.ee Abstract This article describes a novel approach to fault diagnosis suitable

More information

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture

of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture Enhancement of Soft Core Processor Clock Synchronization DDR Controller and SDRAM by Using RISC Architecture Sushmita Bilani Department of Electronics and Communication (Embedded System & VLSI Design),

More information

Testing Static and Dynamic Faults in Random Access Memories

Testing Static and Dynamic Faults in Random Access Memories Testing Static and Dynamic Faults in Random Access Memories Said Hamdioui 1 2 Zaid Al-Ars 2 Ad J. van de Goor 2 1 Intel Corporation, 2200 Mission College Boulevard, Santa Clara, CA 95052 2 Delft University

More information

Memory System Design. Outline

Memory System Design. Outline Memory System Design Chapter 16 S. Dandamudi Outline Introduction A simple memory block Memory design with D flip flops Problems with the design Techniques to connect to a bus Using multiplexers Using

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

A Software-Based Test Methodology for Direct-Mapped Data Cache

A Software-Based Test Methodology for Direct-Mapped Data Cache 17th Asian Test Symposium A Software-Based Test Methodology for Direct-Mapped Data Cache Yi-Cheng Lin, Yi-Ying Tsai, Kuen-Jong Lee, Cheng-Wei Yen, and Chung-Ho Chen Department of Electrical Engineering,

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory 5.1 Semiconductor Main Memory 5.2 Error Correction 5.3 Advanced DRAM Organization 5.1 Semiconductor Main Memory

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Microcontroller Systems. ELET 3232 Topic 11: General Memory Interfacing

Microcontroller Systems. ELET 3232 Topic 11: General Memory Interfacing Microcontroller Systems ELET 3232 Topic 11: General Memory Interfacing 1 Objectives To become familiar with the concepts of memory expansion and the data and address bus To design embedded systems circuits

More information

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2 ISSN 2277-2685 IJESR/November 2014/ Vol-4/Issue-11/799-807 Shruti Hathwalia et al./ International Journal of Engineering & Science Research DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL ABSTRACT

More information

A Survey on Dram Testing and Its Algorithms

A Survey on Dram Testing and Its Algorithms RESEARCH ARTICLE OPEN ACCESS A Survey on Dram Testing and Its Algorithms K.Manju Priya 1, M. Menaka 2 Research Scholar 1, Assistant professor 2 ECE Department (ME VLSI DESIGN) SVS College of Engineering,

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Dr. R.K. Sharma and Aditi Sood Abstract As embedded memory area on-chip is increasing

More information

EEM 486: Computer Architecture. Lecture 9. Memory

EEM 486: Computer Architecture. Lecture 9. Memory EEM 486: Computer Architecture Lecture 9 Memory The Big Picture Designing a Multiple Clock Cycle Datapath Processor Control Memory Input Datapath Output The following slides belong to Prof. Onur Mutlu

More information

IMPLEMENTATION OF DDR I SDRAM MEMORY CONTROLLER USING ACTEL FPGA

IMPLEMENTATION OF DDR I SDRAM MEMORY CONTROLLER USING ACTEL FPGA IMPLEMENTATION OF DDR I SDRAM MEMORY CONTROLLER USING ACTEL FPGA Vivek V S 1, Preethi R 2, Nischal M N 3, Monisha Priya G 4, Pratima A 5 1,2,3,4,5 School of Electronics and Communication, REVA university,(india)

More information

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2 Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC009) ISSN (online): 2349-0020 Efficient BISR

More information

CENG3420 Lecture 08: Memory Organization

CENG3420 Lecture 08: Memory Organization CENG3420 Lecture 08: Memory Organization Bei Yu byu@cse.cuhk.edu.hk (Latest update: February 22, 2018) Spring 2018 1 / 48 Overview Introduction Random Access Memory (RAM) Interleaving Secondary Memory

More information

UMBC D 7 -D. Even bytes 0. 8 bits FFFFFC FFFFFE. location in addition to any 8-bit location. 1 (Mar. 6, 2002) SX 16-bit Memory Interface

UMBC D 7 -D. Even bytes 0. 8 bits FFFFFC FFFFFE. location in addition to any 8-bit location. 1 (Mar. 6, 2002) SX 16-bit Memory Interface 8086-80386SX 16-bit Memory Interface These machines differ from the 8088/80188 in several ways: The data bus is 16-bits wide. The IO/M pin is replaced with M/IO (8086/80186) and MRDC and MWTC for 80286

More information

Memory Pearson Education, Inc., Hoboken, NJ. All rights reserved.

Memory Pearson Education, Inc., Hoboken, NJ. All rights reserved. 1 Memory + 2 Location Internal (e.g. processor registers, cache, main memory) External (e.g. optical disks, magnetic disks, tapes) Capacity Number of words Number of bytes Unit of Transfer Word Block Access

More information

Architecture of An AHB Compliant SDRAM Memory Controller

Architecture of An AHB Compliant SDRAM Memory Controller Architecture of An AHB Compliant SDRAM Memory Controller S. Lakshma Reddy Metch student, Department of Electronics and Communication Engineering CVSR College of Engineering, Hyderabad, Andhra Pradesh,

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Address connections Data connections Selection connections

Address connections Data connections Selection connections Interface (cont..) We have four common types of memory: Read only memory ( ROM ) Flash memory ( EEPROM ) Static Random access memory ( SARAM ) Dynamic Random access memory ( DRAM ). Pin connections common

More information

Design and Implementation of Built-in-Self Test and Repair

Design and Implementation of Built-in-Self Test and Repair P.Ravinder, N.Uma Rani / International Journal of Engineering Research and Applications (IJERA) Design and Implementation of Built-in-Self Test and Repair P.Ravinder*, N.Uma Rani** * (Guru Nanak Institute

More information

The DRAM Cell. EEC 581 Computer Architecture. Memory Hierarchy Design (III) 1T1C DRAM cell

The DRAM Cell. EEC 581 Computer Architecture. Memory Hierarchy Design (III) 1T1C DRAM cell EEC 581 Computer Architecture Memory Hierarchy Design (III) Department of Electrical Engineering and Computer Science Cleveland State University The DRAM Cell Word Line (Control) Bit Line (Information)

More information

MULTIPROCESSOR system has been used to improve

MULTIPROCESSOR system has been used to improve arallel Vector rocessing Using Multi Level Orbital DATA Nagi Mekhiel Abstract Many applications use vector operations by applying single instruction to multiple data that map to different locations in

More information

Topic 21: Memory Technology

Topic 21: Memory Technology Topic 21: Memory Technology COS / ELE 375 Computer Architecture and Organization Princeton University Fall 2015 Prof. David August 1 Old Stuff Revisited Mercury Delay Line Memory Maurice Wilkes, in 1947,

More information

Topic 21: Memory Technology

Topic 21: Memory Technology Topic 21: Memory Technology COS / ELE 375 Computer Architecture and Organization Princeton University Fall 2015 Prof. David August 1 Old Stuff Revisited Mercury Delay Line Memory Maurice Wilkes, in 1947,

More information

Research Collection. A survey of synchronous RAM architectures. Working Paper. ETH Library. Author(s): Gries, Matthias. Publication Date: 1999

Research Collection. A survey of synchronous RAM architectures. Working Paper. ETH Library. Author(s): Gries, Matthias. Publication Date: 1999 Research Collection Working Paper A survey of synchronous RAM architectures Author(s): Gries, Matthias Publication Date: 1999 Permanent Link: https://doi.org/10.3929/ethz-a-004287257 Rights / License:

More information

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Unit 6.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2. Logic Logic and Computer Design Fundamentals Part Implementation

More information

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS Basics DRAM ORGANIZATION DRAM Word Line Bit Line Storage element (capacitor) In/Out Buffers Decoder Sense Amps... Bit Lines... Switching element Decoder... Word Lines... Memory Array Page 1 Basics BUS

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 6: DDR, DDR2 and DDR-3 SDRAM Memory Modules Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture

More information

MCF5307 DRAM CONTROLLER. MCF5307 DRAM CTRL 1-1 Motorola ColdFire

MCF5307 DRAM CONTROLLER. MCF5307 DRAM CTRL 1-1 Motorola ColdFire MCF5307 DRAM CONTROLLER MCF5307 DRAM CTRL 1-1 MCF5307 DRAM CONTROLLER MCF5307 MCF5307 DRAM Controller I Addr Gen Supports 2 banks of DRAM Supports External Masters Programmable Wait States & Refresh Timer

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 16

More information

Sram Cell Static Faults Detection and Repair Using Memory Bist

Sram Cell Static Faults Detection and Repair Using Memory Bist Sram Cell Static Faults Detection and Repair Using Memory Bist Shaik Moulali *, Dr. Fazal Noor Bhasha, B.Srinivas, S.Dayasagar chowdary, P.Srinivas, K. Hari Kishore Abstract Memories are one of the most

More information

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR).

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). M.leela vinoth krishnan Depatment of Electronics and Communication, CEG-Anna university, Chennai, INDIA. Krishnan7_ece@yahoo.co.in.

More information

Fully Programmable Memory BIST for Commodity DRAMs

Fully Programmable Memory BIST for Commodity DRAMs Fully Programmable BIST for Commodity DRAMs Ilwoong Kim, Woosik Jeong, Dongho Kang, and Sungho Kang To accomplish a high-speed test on low-speed automatic test equipment (ATE), a new instruction-based

More information

Introduction read-only memory random access memory

Introduction read-only memory random access memory Memory Interface Introduction Simple or complex, every microprocessorbased system has a memory system. Almost all systems contain two main types of memory: read-only memory (ROM) and random access memory

More information

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation Zetta Datasheet Features VDD=VDDQ=1.35V + 0.100 / - 0.067V Fully differential clock inputs (CK, CK ) operation Differential Data Strobe (DQS, DQS ) On chip DLL align DQ, DQS and DQS transition with CK

More information

Designing a High Performance SDRAM Controller Using ispmach Devices

Designing a High Performance SDRAM Controller Using ispmach Devices February 2002 Reference Design RD1007 Introduction Synchronous DRAMs have become the memory standard in many designs. They provide substantial advances in DRAM performance. They synchronously burst data

More information

15-740/ Computer Architecture Lecture 19: Main Memory. Prof. Onur Mutlu Carnegie Mellon University

15-740/ Computer Architecture Lecture 19: Main Memory. Prof. Onur Mutlu Carnegie Mellon University 15-740/18-740 Computer Architecture Lecture 19: Main Memory Prof. Onur Mutlu Carnegie Mellon University Last Time Multi-core issues in caching OS-based cache partitioning (using page coloring) Handling

More information

Chapter 5 Internal Memory

Chapter 5 Internal Memory Chapter 5 Internal Memory Memory Type Category Erasure Write Mechanism Volatility Random-access memory (RAM) Read-write memory Electrically, byte-level Electrically Volatile Read-only memory (ROM) Read-only

More information

Computer Systems Laboratory Sungkyunkwan University

Computer Systems Laboratory Sungkyunkwan University DRAMs Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Main Memory & Caches Use DRAMs for main memory Fixed width (e.g., 1 word) Connected by fixed-width

More information

Design and VLSI Implementation of DDR SDRAM Controller for High Speed Applications

Design and VLSI Implementation of DDR SDRAM Controller for High Speed Applications Design and VLSI Implementation of DDR SDRAM Controller for High Speed Applications Deepali Sharma # Shruti bhargava # Mahendra Vucha * # Dept. of ECE, TIT, Bhopal, India. * Research Fellow, MANIT, Bhopal

More information

APPLICATION NOTE. SH3(-DSP) Interface to SDRAM

APPLICATION NOTE. SH3(-DSP) Interface to SDRAM APPLICATION NOTE SH3(-DSP) Interface to SDRAM Introduction This application note has been written to aid designers connecting Synchronous Dynamic Random Access Memory (SDRAM) to the Bus State Controller

More information

CENG4480 Lecture 09: Memory 1

CENG4480 Lecture 09: Memory 1 CENG4480 Lecture 09: Memory 1 Bei Yu byu@cse.cuhk.edu.hk (Latest update: November 8, 2017) Fall 2017 1 / 37 Overview Introduction Memory Principle Random Access Memory (RAM) Non-Volatile Memory Conclusion

More information

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management International Journal of Computer Theory and Engineering, Vol., No., December 01 Effective Memory Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management Sultan Daud Khan, Member,

More information

Chapter 8 Memory Basics

Chapter 8 Memory Basics Logic and Computer Design Fundamentals Chapter 8 Memory Basics Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Overview Memory definitions Random Access

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C.

More information

CPE300: Digital System Architecture and Design

CPE300: Digital System Architecture and Design CPE300: Digital System Architecture and Design Fall 2011 MW 17:30-18:45 CBC C316 Cache 11232011 http://www.egr.unlv.edu/~b1morris/cpe300/ 2 Outline Review Memory Components/Boards Two-Level Memory Hierarchy

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Memory System Overview. DMA & Endian-ness. Technology. Architectural. Problem: The Memory Wall

Memory System Overview. DMA & Endian-ness. Technology. Architectural. Problem: The Memory Wall The Memory Wall EE 357 Unit 13 Problem: The Memory Wall Processor speeds have been increasing much faster than memory access speeds (Memory technology targets density rather than speed) Large memories

More information

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A REVIEWARTICLE OF SDRAM DESIGN WITH NECESSARY CRITERIA OF DDR CONTROLLER Sushmita Bilani *1 & Mr. Sujeet Mishra 2 *1 M.Tech Student

More information

An Efficient Parallel Transparent Diagnostic BIST

An Efficient Parallel Transparent Diagnostic BIST An Efficient Parallel Transparent Diagnostic BIST D. C. Huang and W. B. Jone Department of CS & IE, National Chung-Cheng University, Taiwan, R.O.C. Abstract- In this paper, we propose a new transparent

More information

EE414 Embedded Systems Ch 5. Memory Part 2/2

EE414 Embedded Systems Ch 5. Memory Part 2/2 EE414 Embedded Systems Ch 5. Memory Part 2/2 Byung Kook Kim School of Electrical Engineering Korea Advanced Institute of Science and Technology Overview 6.1 introduction 6.2 Memory Write Ability and Storage

More information

The Memory Hierarchy Part I

The Memory Hierarchy Part I Chapter 6 The Memory Hierarchy Part I The slides of Part I are taken in large part from V. Heuring & H. Jordan, Computer Systems esign and Architecture 1997. 1 Outline: Memory components: RAM memory cells

More information

Basic Organization Memory Cell Operation. CSCI 4717 Computer Architecture. ROM Uses. Random Access Memory. Semiconductor Memory Types

Basic Organization Memory Cell Operation. CSCI 4717 Computer Architecture. ROM Uses. Random Access Memory. Semiconductor Memory Types CSCI 4717/5717 Computer Architecture Topic: Internal Memory Details Reading: Stallings, Sections 5.1 & 5.3 Basic Organization Memory Cell Operation Represent two stable/semi-stable states representing

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

Memory Controller. Speaker: Tzu-Wei Tseng. Adopted from National Taiwan University SoC Design Laboratory. SOC Consortium Course Material

Memory Controller. Speaker: Tzu-Wei Tseng. Adopted from National Taiwan University SoC Design Laboratory. SOC Consortium Course Material Memory Controller Speaker: Tzu-Wei Tseng Adopted from National Taiwan University SoC Design Laboratory SOC Consortium Course Material Goal of This Lab Familiarize with ARM memory interface Know ARM Integrator

More information

CS 320 February 2, 2018 Ch 5 Memory

CS 320 February 2, 2018 Ch 5 Memory CS 320 February 2, 2018 Ch 5 Memory Main memory often referred to as core by the older generation because core memory was a mainstay of computers until the advent of cheap semi-conductor memory in the

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM Complex test pattern generation for high speed fault diagnosis in Embedded SRAM 1 Prasanna Kumari P., 2 Satyanarayana S. V. V., 3 Nagireddy S. 1, 3 Associate professor, 2 Master of Engineering, Teegala

More information

a) Memory management unit b) CPU c) PCI d) None of the mentioned

a) Memory management unit b) CPU c) PCI d) None of the mentioned 1. CPU fetches the instruction from memory according to the value of a) program counter b) status register c) instruction register d) program status word 2. Which one of the following is the address generated

More information

Technical Note Designing for High-Density DDR2 Memory

Technical Note Designing for High-Density DDR2 Memory Technical Note Designing for High-Density DDR2 Memory TN-47-16: Designing for High-Density DDR2 Memory Introduction Introduction DDR2 memory supports an extensive assortment of options for the system-level

More information

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( )

6.1 Combinational Circuits. George Boole ( ) Claude Shannon ( ) 6. Combinational Circuits George Boole (85 864) Claude Shannon (96 2) Signals and Wires Digital signals Binary (or logical ) values: or, on or off, high or low voltage Wires. Propagate digital signals

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 2.0 2015 IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 2.0 1 IMM64M64D1SOD16AG Version:

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information