THE DESIGNER S GUIDE TO VERILOG-AMS

Size: px
Start display at page:

Download "THE DESIGNER S GUIDE TO VERILOG-AMS"

Transcription

1 THE DESIGNER S GUIDE TO VERILOG-AMS

2 THE DESIGNER S GUIDE BOOK SERIES Consulting Editor Kenneth S. Kundert Books in the series: The Designer s Guide to Verilog-AMS ISBN: The Designer s Guide to SPICE AND Spectre ISBN:

3 THE DESIGNER S GUIDE TO VERILOG-AMS First Edition June 00 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems KLUWER ACADEMIC PUBLISHERS NEW YORK, BOSTON, DORDRECHT, LONDON, MOSCOW

4 ebook ISBN: X Print ISBN: Kluwer Academic Publishers New York, Boston, Dordrecht, London, Moscow Print 00 Kluwer Academic Publishers Boston All rights reserved No part of this ebook may be reproduced or transmitted in any form or by any means, electronic, mechanical, recording, or otherwise, without written consent from the Publisher Created in the United States of America Visit Kluwer Online at: and Kluwer's ebookstore at:

5 Contents Chapter 1 Introduction 1 1 Hardware Description Languages The Verilog Family of Languages Mixed-Signal Simulators Applications of Verilog-AMS...5 Component Modeling Test Benches Simulation Acceleration Mixed-Signal Design Top-Down Design Chapter Top-Down Design Mixed-Signal Design Productivity 1 5 Traditional Approaches to Mixed-Signal Design.1 Bottom-Up Design. Moving to Top-Down Design Principles of Top-Down Design.1 A Shared Design Representation. Every Change is Verified. Verification Planning. Multiple Passes.5 Executable Specifications and Plans A Rigorous Top-Down Design Process Simulation and Modeling Plans System-Level Verification Mixed-Level Simulation Bottom-Up Verification Final Verification Test Further Benefits of Top-Down Design Improves Communications Between Engineers Improves Productivity Improves Ability to Handle Complex Designs Allows Parallel Execution of Design Tasks Supports IP Reuse

6 Contents 6 Final Words on Top-Down Design Chapter Analog Modeling 1 Resistor Capacitor 1. Inductor 1. Voltage and Current Sources A Simple Circuit.1 Conservative Systems Motor.1 Natures and Disciplines Junction Diode. Junction Diode with Series Resistor Probes and Sources Series and Parallel RLC Resistive Port Relay 6.1 Non-Ideal Relay 6. Ideal Mechanical Stop 6. Ideal Diode Voltage-Controlled Oscillator Periodic Sample and Hold 8.1 Smoothing the Output Time Interval Measurement Analog to Digital Converter Digital to Analog Converter Lossy Inductor Tolerances Elements of Style Chapter Mixed-Signal Modeling Mixed Signal Models Modeling Discrete Behavior.1 Language Basics. Integers and Reals Modeling Mixed-Signal Behavior.1 Analog and Digital Contexts. From Digital to Analog. From Analog to Digital Structural Verilog-AMS.. Connecting Analog and Digital Discipline Resolution Automatic Connect Module Insertion Modeling Connect Modules vi

7 Contents Chapter 5 Language Reference 1 Basics 0 5 System Functions and Tasks 5.1 Simulator Interface 5. Display Tasks 5. File Operation Tasks 5. Random Numbers Signals.1. Expressions Comments Identifiers Keywords Compiler Directives Data Types Constants Variables Parameters Natures and Disciplines Ports, Nets, and Nodes Branches Continuous-Time Signal Access Contributions Operators Functions Mathematical Functions Logical Functions Environment Functions Analog Operators Thresholding Functions Limiting Functions Small-Signal Stimulus Functions User-Defined Functions Analog Behavior Analog Processes Procedural Blocks Assignments Contributions Conditionals Iterators User-Defined Analog Functions Analog Events Discrete-Event Behavior Initial and Always Processes Procedural Blocks vii

8 Contents Appendix A Compatibility Concurrent Blocks Assignments Nets and Registers Timing Control Conditionals Iterators User-Defined Functions and Tasks Mixed Behavior Discrete-Event Values in an Analog Process Discrete Events in an Analog Process Continuous-Time Values in an Initial or Always Process Continuous Events in an Initial or Always Process Calling Functions Hierarchy 9.1 Modules 9. Instantiation 9. Gate-Level Descriptions 9. Hierarchical Names 9.5 Mixed Signal Structure Other Features of Verilog-HDL Verilog-HDL Compatibility SPICE Compatibility.1 Scope of Compatibility. Accessing SPICE Objects from Verilog-A/MS. Preferred Primitive, Parameter and Port Names. Other Issues Spectre Compatibility Using Verilog-A with Spectre Accessing Spectre Objects from Verilog-A Spectre s Implementation of Verilog-A AMS Designer Compatibility Using Verilog-AMS with AMS Designer Referencing SPICE Referencing VHDL-AMS viii

9 Preface The Verilog Hardware Description Language (Verilog-HDL) has long been the most popular language for describing complex digital hardware. It started life as a proprietary language but was donated by Cadence Design Systems to the design community to serve as the basis of an open standard. That standard was formalized in 1995 by the IEEE in standard About that same time a group named Analog Verilog International formed with the intent of proposing extensions to Verilog to support analog and mixed-signal simulation. The first fruits of the labor of that group became available in 1996 when the language definition of Verilog-A was released. Verilog-A was not intended to work directly with Verilog-HDL. Rather it was a language with Similar syntax and related semantics that was intended to model analog systems and be compatible with SPICE-class circuit simulation engines. The first implementation of Verilog-A soon followed: a version from Cadence that ran on their Spectre circuit simulator. As more implementations of Verilog-A became available, the group defining the analog and mixed-signal extensions to Verilog continued their work, releasing the definition of Verilog-AMS in 000. Verilog-AMS combines both Verilog-HDL and Verilog-A, and adds additional mixed-signal constructs, providing a hardware description language suitable for analog, digital, and mixed-signal systems. Again, Cadence was first to release an implementation of this new language, in a product named AMS Designer that combines their Verilog and Spectre simulation engines. At the time this preface was written, all but the oldest commercial circuit simulators support Verilog-A, and each of the major ICCAD vendors offer mixed-signal simulators that support Verilog-AMS. Verilog-A is extensively used in both device modeling for circuit simulation and for behavioral modeling of analog systems and adoption of Verilog-AMS is growing rapidly. Verilog-AMS is continuing to evolve. Version.1 of the Verilog-AMS standard is based on the IEEE Verilog standard. It was released in January 00. The committee charged with the development of Verilog-AMS ( is currently working to improve and update the standard. Progress is currently being made to update the basis of the standard to the latest version of Verilog-HDL, IEEE They are also working to integrate Verilog-AMS into SystemVer-

10 Preface ilog. Finally, extensions are being added to support compact semiconductor models and table models. The intent of Verilog-AMS is to let designers of analog and mixed-signal systems and circuits create and use models that describe their designs. Once a design is described in Verilog-AMS, simulators are used to help designers better understand and verify their designs. Verilog-AMS allows designs to be described at the same level as does SPICE, but at the same time allows designs to also be described at higher more abstract levels. This range is needed for the larger more complex mixed-signal designs that are becoming commonplace today. This book starts in Chapter 1 with a brief introduction to hardware description languages in general and Verilog-AMS in particular. Chapter presents a formal topdown design methodology. While not used extensively today, top-down design is widely believed to be the only methodology available that can efficiently handle large complex mixed-signal designs. This chapter presents a refined and proven top-down methodology that overcomes many of the problems with existing top-down methodologies. Chapter and Chapter introduce the Verilog-A and Verilog-AMS languages. The important concepts of the languages are presented using practical and easy to understand examples. These chapters are intended to be read from beginning to end and are designed to take engineers with a working knowledge of programming concepts to the point where they are comfortable writing a wide range of Verilog-A and Verilog-AMS models. However, they do not cover all the details of the languages. Chapter 5 is a reference guide to the languages. It presents all of the details, but not in a completely linear fashion. Though it can be read from beginning to end, it was written with the expectation that most would use it as a reference, looking up just the details they need when they need them. As such, it, as with the rest of the book, is extensively cross referenced and indexed. A word about the conventions used in this book. As new ideas and definitions are presented, a few keywords will be set in bold italics to make them easier to find and to call your attention to them as important points. Code is set in a sans serif font with keywords in bold and comments in italics. When in text, identifier names are set in italics. Acronyms that are spoken as words rather than letters are set in small caps; for example, SPICE. Besides the normal cross references found in the text, you will also find references that appear like this: (5.p157). These abbreviated references include the chapter number, the section number, and finally the page number. Finally, all models presented in this book have been verified with the simulators from Cadence, either Spectre or AMS Designer as appropriate. This book has two companion websites on which you can find updated information about both this book and its subject matter. contains inforx

11 Preface mation about the book, including an errata sheet, the latest versions of the models given in this book, articles that contain additional information about both modeling and Verilog-AMS, and links to other sites that would be of interest. In addition, it also provides a discussion forum where you can ask questions and have conversations with other practicing design engineers. provides a burgeoning library of high quality user contributed Verilog-A and Verilog-AMS models. It is our intention to continually update and improve this book. As such, we would like to ask for your help in the process. Please send your comments, suggestions, experiences, feedback and reports of errors to either ken@designers-guide.com or describe them at Ken Kundert Olaf Zinke April 1, 00 xi

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004 KENNETH S. KUNDERT Cadence Design Systems OLAF ZINKE Cadence Design Systems k4 Kluwer Academic Publishers Boston/Dordrecht/London Chapter 1 Introduction

More information

Index. A a (atto) 154 above event 120, 207 restrictions 178

Index. A a (atto) 154 above event 120, 207 restrictions 178 Symbols! (negation) 174!= (inequality) 174!== (not identical) 174 # delay 166, 216 not in analog process 196 $abstime 83, 175 $bound_step 77, 190 $discontinuity 69, 79, 80, 191 $display 192 $driver_...

More information

Analog Verification. Ken Kundert. Copyright 2009, Designerʹs Guide Consulting, Inc. All Rights Reserved

Analog Verification. Ken Kundert. Copyright 2009, Designerʹs Guide Consulting, Inc. All Rights Reserved Analog Verification Ken Kundert Copyright 2009, Designerʹs Guide Consulting, Inc. All Rights Reserved Designs They Are A Changin The Complexity of Design is Growing Rapidly Size > 100K transistors 2010

More information

The Verilog Hardware Description Language, Fifth Edition

The Verilog Hardware Description Language, Fifth Edition The Verilog Hardware Description Language, Fifth Edition The Verilog Hardware Description Language, Fifth Edition Donald E. Thomas ECE Department Carnegie Mellon University Pittsburgh, PA Philip R. Moorby

More information

ANALOG BEHAVIORAL MODELING WITH THE VERILOG-A LANGUAGE

ANALOG BEHAVIORAL MODELING WITH THE VERILOG-A LANGUAGE ANALOG BEHAVIORAL MODELING WITH THE VERILOG-A LANGUAGE ANALOG BEHAVIORAL MODELING WITH THE VERILOG-A LANGUAGE by Dan FitzPatrick Apteq Design Systems, Inc. and Ira Miller Motorola KLUWER ACADEMIC PUBLISHERS

More information

THE VERILOG? HARDWARE DESCRIPTION LANGUAGE

THE VERILOG? HARDWARE DESCRIPTION LANGUAGE THE VERILOG? HARDWARE DESCRIPTION LANGUAGE THE VERILOGf HARDWARE DESCRIPTION LANGUAGE by Donald E. Thomas Carnegie Mellon University and Philip R. Moorby Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS

More information

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog VERILOG QUICKSTART A Practical Guide to Simulation and Synthesis in Verilog Second Edition VERILOG QUICKSTART A Practical Guide to Simulation and Synthesis in Verilog Second Edition James M. Lee SEVA Technologies

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development

SmartSpice Verilog-A Interface. Behavioral and Structural Modeling Tool - Device Model Development SmartSpice Verilog-A Interface Behavioral and Structural Modeling Tool - Device Model Development Verilog-A Models and Features Agenda Overview Design Capability Compact Modeling Verilog-A Inteface - 2

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

A Tutorial Introduction 1

A Tutorial Introduction 1 Preface From the Old to the New Acknowledgments xv xvii xxi 1 Verilog A Tutorial Introduction 1 Getting Started A Structural Description Simulating the binarytoeseg Driver Creating Ports For the Module

More information

Parag Choudhary Engineering Architect

Parag Choudhary Engineering Architect Parag Choudhary Engineering Architect Agenda Overview of Design Trends & Designer Challenges PCB Virtual Prototyping in PSpice Simulator extensions for Models and Abstraction levels Examples of a coding

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

Design Progression With VHDL Helps Accelerate The Digital System Designs

Design Progression With VHDL Helps Accelerate The Digital System Designs Fourth LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCET 2006) Breaking Frontiers and Barriers in Engineering: Education, Research and Practice 21-23 June

More information

Linking a Simulation Model to a Schematic Component

Linking a Simulation Model to a Schematic Component Linking a Simulation Model to a Schematic Component Old Content - visit altium.com/documentation Modified by on 13-Sep-2017 Altium Designer provides a powerful mixed-signal circuit simulator, enabling

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

Linking a Simulation Model to a Schematic Component. Contents

Linking a Simulation Model to a Schematic Component. Contents Linking a Simulation Model to a Schematic Component Contents Model Conversion Creating the Schematic Component Adding the Link Configuring the Link Specifying Model Type Linking to a SPICE 3f5 Model The

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

INFORMATION TECHNOLOGY Selected Tutorials

INFORMATION TECHNOLOGY Selected Tutorials INFORMATION TECHNOLOGY Selected Tutorials IFIP The International Federation for Information Processing IFIP was founded in 1960 under the auspices of UNESCO, following the First World Computer Congress

More information

Tutorial on VHDL and Verilog Applications

Tutorial on VHDL and Verilog Applications Second LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCEI 2004) Challenges and Opportunities for Engineering Education, Research and Development 2-4 June

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

ANALOG MODELING WITH VERILOG-A USING CADENCE TOOLS. Eng. Sherief Fathi

ANALOG MODELING WITH VERILOG-A USING CADENCE TOOLS. Eng. Sherief Fathi ANALOG MODELING WITH VERILOG-A USING CADENCE TOOLS Eng. Sherief Fathi Hardware Description Language (HDL) In electronics, a hardware description language (HDL) is a specialized computer language used to

More information

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals Rüdiger Schroll *1) Wilhelm Heupke *1) Klaus Waldschmidt *1) Christoph Grimm *2) *1) Technische Informatik *2) Institut für Mikroelektronische

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

The Verilog Hardware Description Language

The Verilog Hardware Description Language Donald Thomas Philip Moorby The Verilog Hardware Description Language Fifth Edition 4y Spri nnger Preface From the Old to the New Acknowledgments xv xvii xxi 1 Verilog A Tutorial Introduction Getting Started

More information

Introduction to Verilog HDL

Introduction to Verilog HDL Introduction to Verilog HDL Ben Abdallah Abderazek National University of Electro-communications, Tokyo, Graduate School of information Systems May 2004 04/09/08 1 What you will understand after having

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Table of Contents. 1 Introduction. 2 Reliability Predictions for Electronic Equipment. 3 Steady State Failure Rate Prediction for Devices

Table of Contents. 1 Introduction. 2 Reliability Predictions for Electronic Equipment. 3 Steady State Failure Rate Prediction for Devices Reliability Prediction Procedure for Electronic Equipment SR-332 Table of Contents Table of Contents 1 Introduction 1.1 Purpose and Scope.................................. 1 1 1.2 Changes........................................

More information

Summary of Contents LIST OF FIGURES LIST OF TABLES

Summary of Contents LIST OF FIGURES LIST OF TABLES Summary of Contents LIST OF FIGURES LIST OF TABLES PREFACE xvii xix xxi PART 1 BACKGROUND Chapter 1. Introduction 3 Chapter 2. Standards-Makers 21 Chapter 3. Principles of the S2ESC Collection 45 Chapter

More information

Hardware Description Languages (HDLs) Verilog

Hardware Description Languages (HDLs) Verilog Hardware Description Languages (HDLs) Verilog Material from Mano & Ciletti book By Kurtulus KULLU Ankara University What are HDLs? A Hardware Description Language resembles a programming language specifically

More information

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title Verilog HDL A Guide to Digital Design and Synthesis Samir Palnitkar SunSoft Press A Prentice Hall Title Table of Contents About the Author Foreword Preface Acknowledgments v xxxi xxxiii xxxvii Part 1:

More information

8/22/2003. Proposal for VPI model PSL assertion extensions

8/22/2003. Proposal for VPI model PSL assertion extensions 8/22/2003 Proposal for VPI model PSL assertion extensions Cadence Design Systems, Inc. 8/22/2003 This proposal has been prepared by Cadence Design Systems, Inc. for consideration by the IEEE 1364 working

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

Evolution of UPF: Getting Better All the Time

Evolution of UPF: Getting Better All the Time Evolution of UPF: Getting Better All the Time by Erich Marschner, Product Manager, Questa Power Aware Simulation, Mentor Graphics Power management is a critical aspect of chip design today. This is especially

More information

Tanner Analog Front End Flow. Student Workbook

Tanner Analog Front End Flow. Student Workbook Student Workbook 2016 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits

Date Performed: Marks Obtained: /10. Group Members (ID):. Experiment # 11. Introduction to Verilog II Sequential Circuits Name: Instructor: Engr. Date Performed: Marks Obtained: /10 Group Members (ID):. Checked By: Date: Experiment # 11 Introduction to Verilog II Sequential Circuits OBJECTIVES: To understand the concepts

More information

INTRUSION DETECTION AND CORRELATION. Challenges and Solutions

INTRUSION DETECTION AND CORRELATION. Challenges and Solutions INTRUSION DETECTION AND CORRELATION Challenges and Solutions Advances in Information Security Sushil Jajodia Consulting editor Center for Secure Information Systems George Mason University Fairfax, VA

More information

Guidelines for Verilog-A Compact Model Coding

Guidelines for Verilog-A Compact Model Coding Guidelines for Verilog-A Compact Model Coding Gilles DEPEYROT, Frédéric POULLET, Benoît DUMAS DOLPHIN Integration Outline Dolphin EDA Solutions by Dolphin Overview of SMASH Context & Goals Verilog-A for

More information

An Introduction to Programming with IDL

An Introduction to Programming with IDL An Introduction to Programming with IDL Interactive Data Language Kenneth P. Bowman Department of Atmospheric Sciences Texas A&M University AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN

More information

Embedded Systems Architecture

Embedded Systems Architecture Embedded Systems Architecture A Comprehensive Guide for Engineers and Programmers By Tammy Noergaard ELSEVIER AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE

More information

The Optimization of a Design Using VHDL Concepts

The Optimization of a Design Using VHDL Concepts The Optimization of a Design Using VHDL Concepts Iuliana CHIUCHISAN 1, Alin Dan POTORAC 2 "Stefan cel Mare" University of Suceava str.universitatii nr.13, RO-720229 Suceava 1 iuliap@eed.usv.ro, 2 alinp@eed.usv.ro

More information

Understanding the Concepts and Features of Macro Programming 1

Understanding the Concepts and Features of Macro Programming 1 Contents Preface ix Acknowledgments xi Part 1 Understanding the Concepts and Features of Macro Programming 1 Chapter 1 Introduction 3 What Is the SAS Macro Facility? 4 What Are the Advantages of the SAS

More information

Simulation and Modeling for Signal Integrity and EMC

Simulation and Modeling for Signal Integrity and EMC Simulation and Modeling for Signal Integrity and EMC Lynne Green Sr. Member of Consulting Staff Cadence Design Systems, Inc. 320 120th Ave NE Bellevue, WA 98005 USA (425) 990-1288 http://www.cadence.com

More information

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS SystemC / SystemC AMS based Simulation and Modeling Technologies Outline COSIDE Today COSIDE 2.0 COSIDE Future 2 Management Summary Combination of analog

More information

PROTOCOLS FOR HIGH-EFFICIENCY WIRELESS NETWORKS

PROTOCOLS FOR HIGH-EFFICIENCY WIRELESS NETWORKS PROTOCOLS FOR HIGH-EFFICIENCY WIRELESS NETWORKS PROTOCOLS FOR HIGH-EFFICIENCY WIRELESS NETWORKS by Alessandro Andreadis Giovanni Giambene KLUWER ACADEMIC PUBLISHERS NEW YORK, BOSTON, DORDRECHT, LONDON,

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

Using PLI 2.0 (VPI) with VCS Yes, it really works! (or does it?)

Using PLI 2.0 (VPI) with VCS Yes, it really works! (or does it?) Using PI 2.0 (VPI) with VCS Yes, it really works! (or does it?) Stuart HD, Inc. Portland, Oregon stuart@sutherland-hdl.com Purpose 2 VCS version 6.1 claims to support PI 2.0 What is PI 2.0? What are the

More information

Multi-lingual Model Support within IBIS

Multi-lingual Model Support within IBIS Multi-lingual Model Support within IBIS Bob Ross, Vice Chair. January 28, 2002 IBIS Summit, Santa Clara, CA Benefits of Multi-lingual Support Model advances beyond IBIS True differential buffers, current

More information

PSpice Analog and mixed signal simulation

PSpice Analog and mixed signal simulation PSpice Analog and mixed signal simulation You can count on PSpice for accurate circuit simulation results and regular innovations. PSpice has been tried and proven by thousands of engineers. Since the

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET INTRODUCTION TO VHDL Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET VHDL Resources Other Sources manufacturers web pages http://www.xilinx.com http://www.altera.com

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL) Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL) Pinit Kumhom VLSI Laboratory Dept. of Electronic and Telecommunication Engineering (KMUTT) Faculty of Engineering King Mongkut s University

More information

VHDL Coding Styles and Methodologies. Second Edition

VHDL Coding Styles and Methodologies. Second Edition VHDL Coding Styles and Methodologies Second Edition VHDL Coding Styles and Methodologies Second Edition Ben Cohen KLUWER ACADEMIC PUBLISHERS NEW YORK, BOSTON, DORDRECHT, LONDON, MOSCOW CD-ROM only available

More information

Interfacing with C++

Interfacing with C++ Interfacing with C++ Jayantha Katupitiya Kim Bentley Interfacing with C++ Programming Real-World Applications ABC Dr. Jayantha Katupitiya Senior Lecturer School of Mechanical and Manufacturing Engineering

More information

CADENCE VERILOG SIMULATION GUIDE AND TUTORIAL

CADENCE VERILOG SIMULATION GUIDE AND TUTORIAL page 1 / 5 page 2 / 5 cadence verilog simulation guide pdf 6 Verilog HDL Quick Reference Guide 4.8 Logic Values Verilog uses a 4 value logic system for modeling. There are two additional unknown logic

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

A mixed signal verification platform to verify I/O designs

A mixed signal verification platform to verify I/O designs A mixed signal verification platform to verify I/O designs Dan Bernard Dhaval Sejpal 7/14/11 Introduction My group at IBM develops high-speed custom I/O interfaces for IBM's server processors. In the past,

More information

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3)

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3) By P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA 95132 (USA) Jan 2000 (Issue-3) Contents Introduction... 3 Application Specific Integrated Circuits

More information

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software 01 1 Electronic Design Automation (EDA) 01 1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements. Contemporary Design We have been talking about design process Let s now take next steps into examining in some detail Increasing complexities of contemporary systems Demand the use of increasingly powerful

More information

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC VERILOG QUICKSTART VERILOG QUICKSTART by James M. Lee Cadence Design Systems, Inc. ~. " SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-4613-7801-3 ISBN 978-1-4615-6113-2 (ebook) DOI 10.1007/978-1-4615-6113-2

More information

The Boundary - Scan Handbook

The Boundary - Scan Handbook The Boundary - Scan Handbook By Kenneth P. Parker Agilent Technologies * KLUWER ACADEMIC PUBLISHERS Boston / Dordrecht / London TABLE OF CONTENTS List of Figures xiii List of Tables xvi List of Design-for-Test

More information

Connectivity and Multi-Sheet Design. Contents

Connectivity and Multi-Sheet Design. Contents Connectivity and Multi-Sheet Design Contents Defining Sheet Structure Building a Hierarchical Structure Top-Down Design Bottom-Up Design Mixed Schematic/HDL Document Hierarchy Maintaining Hierarchy Synchronizing

More information

IBIS 4.1 Macromodel Library for Simulator Independent Modeling

IBIS 4.1 Macromodel Library for Simulator Independent Modeling IBIS 4.1 Macromodel Library for Simulator Independent Modeling Arpad Muranyi, Mike LaBonte, Todd Westerhoff Sam Chitwood, Ian Dodd, Barry Katz, Scott McMorrow, Bob Ross, Ken Willis 1 Agenda History How

More information

CO SIMULATION OF GENERIC POWER CONVERTER USING MATLAB/SIMULINK AND MODELSIM

CO SIMULATION OF GENERIC POWER CONVERTER USING MATLAB/SIMULINK AND MODELSIM CO SIMULATION OF GENERIC POWER CONVERTER USING MATLAB/SIMULINK AND MODELSIM Ajay Singh MIT, Modinagar U.P (India) ABSTRACT In this paper we discuss about the co-simulation of generic converter using MATLAB

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 4: CAD tools Dr. Mohamed Abd El Ghany, Introduction to CAD Tools The preceding lectures introduced a basic approach for synthesis of logic circuits. A designer could use

More information

EMBEDDED SYSTEMS: Jonathan W. Valvano INTRODUCTION TO THE MSP432 MICROCONTROLLER. Volume 1 First Edition June 2015

EMBEDDED SYSTEMS: Jonathan W. Valvano INTRODUCTION TO THE MSP432 MICROCONTROLLER. Volume 1 First Edition June 2015 EMBEDDED SYSTEMS: INTRODUCTION TO THE MSP432 MICROCONTROLLER Volume 1 First Edition June 2015 Jonathan W. Valvano ii Jonathan Valvano First edition 3 rd printing June 2015 The true engineering experience

More information

The Designer's Guide to VHDL Second Edition

The Designer's Guide to VHDL Second Edition The Designer's Guide to VHDL Second Edition Peter J. Ashenden EDA CONSULTANT, ASHENDEN DESIGNS PTY. VISITING RESEARCH FELLOW, ADELAIDE UNIVERSITY Cl MORGAN KAUFMANN PUBLISHERS An Imprint of Elsevier SAN

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad-500 014 Subject: Digital Design Using Verilog Hdl Class : ECE-II Group A (Short Answer Questions) UNIT-I 1 Define verilog HDL? 2 List levels of

More information

SiMKit Release Notes. for SiMKit version 2.5. First Edition. NXP Semiconductors DMS/Tool and Flow Solutions

SiMKit Release Notes. for SiMKit version 2.5. First Edition. NXP Semiconductors DMS/Tool and Flow Solutions SiMKit Release Notes for SiMKit version 2.5 First Edition NXP Semiconductors DMS/Tool and Flow Solutions Eindhoven, April 2007 This document is provided without warranty of any kind, either expressed or

More information

Next-generation Power Aware CDC Verification What have we learned?

Next-generation Power Aware CDC Verification What have we learned? Next-generation Power Aware CDC Verification What have we learned? Kurt Takara, Mentor Graphics, kurt_takara@mentor.com Chris Kwok, Mentor Graphics, chris_kwok@mentor.com Naman Jain, Mentor Graphics, naman_jain@mentor.com

More information

Integrated Circuit Design Using. Open Cores and Design Tools. Martha SaloméLópez de la Fuente

Integrated Circuit Design Using. Open Cores and Design Tools. Martha SaloméLópez de la Fuente Integrated Circuit Design Using Open Cores and Design Tools Martha SaloméLópez de la Fuente Science Publishing Group 548 Fashion Avenue New York, NY 10018 www.sciencepublishinggroup.com Published by Science

More information

תכן חומרה בשפת VERILOG הפקולטה להנדסה

תכן חומרה בשפת VERILOG הפקולטה להנדסה תכן חומרה בשפת VERILOG סמסטר ב' תשע"ג משה דורון מרצה: מתרגלים: אריאל בורג, חג'ג' חן הפקולטה להנדסה 1 Course Topics - Outline Lecture 1 - Introduction Lecture 2 - Lexical conventions Lecture 3 - Data types

More information

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it. MODELING LANGUAGES AND ABSTRACT MODELS Giovanni De Micheli Stanford University Chapter 3 in book, please read it. Outline Hardware modeling issues: Representations and models. Issues in hardware languages.

More information

Hardware description language (HDL)

Hardware description language (HDL) Hardware description language (HDL) A hardware description language (HDL) is a computer-based language that describes the hardware of digital systems in a textual form. It resembles an ordinary computer

More information

EECS150 - Digital Design Lecture 8 - Hardware Description Languages

EECS150 - Digital Design Lecture 8 - Hardware Description Languages EECS150 - Digital Design Lecture 8 - Hardware Description Languages September 19, 2002 John Wawrzynek Fall 2002 EECS150 - Lec08-HDL Page 1 Netlists Design flow What is a HDL? Verilog history examples Outline

More information

EECS 3201: Digital Logic Design Lecture 4. Ihab Amer, PhD, SMIEEE, P.Eng.

EECS 3201: Digital Logic Design Lecture 4. Ihab Amer, PhD, SMIEEE, P.Eng. EECS 32: Digital Logic Design Lecture 4 Ihab Amer, PhD, SMIEEE, P.Eng. What is a HDL? A high-level computer language that can describe digital systems in tetual form Two applications of HDL processing:

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

0. Overview of this standard Design entities and configurations... 5

0. Overview of this standard Design entities and configurations... 5 Contents 0. Overview of this standard... 1 0.1 Intent and scope of this standard... 1 0.2 Structure and terminology of this standard... 1 0.2.1 Syntactic description... 2 0.2.2 Semantic description...

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION Joseph Richards SGI, High Performance Systems Development Mountain View, CA richards@sgi.com Abstract The challenges involved in

More information

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification Verilog Fundamentals Verilog Tutorial History Data types Structural Verilog Functional Verilog Adapted from Krste Asanovic Originally designers used manual translation + bread boards for verification Hardware

More information

Verilog Tutorial 9/28/2015. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Verilog Tutorial 9/28/2015. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification Verilog Fundamentals Verilog Tutorial History Data types Structural Verilog Functional Verilog Adapted from Krste Asanovic Originally designers used manual translation + bread boards for verification Hardware

More information

Status Report IBIS 4.1 Macro Working Group

Status Report IBIS 4.1 Macro Working Group Status Report IBIS 4.1 Macro Working Group IBIS Open Forum Summit July 25, 2006 presented by Arpad Muranyi, Intel IBIS-Macro Working Group Intel - Arpad Muranyi Cadence Lance Wang, Ken Willis Cisco - Mike

More information

EE 4755 Digital Design Using Hardware Description Languages

EE 4755 Digital Design Using Hardware Description Languages EE 4755 Digital Design Using Hardware Description Languages Basic Information URL: http://www.ece.lsu.edu/v Offered by: David M. Koppelman, Room 345 ERAD Building 578-5482. koppel@ece.lsu.edu, http://www.ece.lsu.edu/koppel/koppel.html

More information

Top-Down Design of Mixed-Signal Circuits

Top-Down Design of Mixed-Signal Circuits Top-Down Design of Mixed-Signal Circuits Ken Kundert Cadence Design Systems San Jose, California, USA Abstract With mixed-signal designs becoming more complex and time-to-market windows shrinking, designers

More information

Verilog for Combinational Circuits

Verilog for Combinational Circuits Verilog for Combinational Circuits Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2014 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/

More information

ELEC 2200 Digital Logic Circuits

ELEC 2200 Digital Logic Circuits ELEC 22 Digital Logic Circuits Charles E. Stroud, Professor Dept. of Electrical & Computer Engineering Office: 325 Broun Hall Email: cestroud@eng.auburn.edu Text: Digital Logic Circuit Analysis & Design

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic CAD.

Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic CAD. HARDWARE DESCRIPTION Mehran M. Massoumi, HDL Research & Development, Averant Inc., USA Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic

More information

This content has been downloaded from IOPscience. Please scroll down to see the full text.

This content has been downloaded from IOPscience. Please scroll down to see the full text. This content has been downloaded from IOPscience. Please scroll down to see the full text. Download details: IP Address: 148.251.232.83 This content was downloaded on 22/11/2018 at 08:50 Please note that

More information