Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Size: px
Start display at page:

Download "Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs"

Transcription

1 Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C. Abstract High-density and high capacity embedded memories are important components for successful implementation of a system-on-a-chip. Since embedded memory cores usually occupy a large portion of the chip area, they will dominate the manufacturing yield of the system chips. In this paper, a novel built-in self-test and repair (BISTR) approach is proposed for semiconductor memories with -D redundancy (redundant rows) structures. The memory rows are virtually divided into row blocks and reconfiguration is performed at the row block level instead of the traditional row level. That is, the virtual divided word line (VDWL) concept is used for repairing of memory cores. The hardware overhead is almost negligible. An experimental chip is implemented and shows a low area overhead about 3.06% for a SRAM with 4 spare rows. We also compare the repair rate of our approach with previous memory repair algorithms. It also concludes that our approach improves the repair rate significantly.. Introduction With the trend of system-on-a-chip (SoC) technology, high-density and high-capacity embedded memories are required for successful implementation of a system [, 2]. From the viewpoint of complexity, it is very difficult and costly to test embedded memories from an external memory tester as the chip density continues to grow. Moreover, the accessibility of embedded memories is low for external testers. A promising solution to this problem is the built-in self-test (BIST) [3-6]. However, as the density of memory increases, the circuits become more complex and are prone to suffering from defects. Therefore, it is difficult to keep a profitable yield model. In order to improve the fabrication yield, redundancies (redundant rows and/or columns) are often added such that most faulty memory cells can be replaced. When this happens, BIST circuits are required not only to detect the presence of faults but also to specify their locations for repair. The extended techniques of BIST are built-in selfdiagnosis (BISD) and built-in self-repair (BISR) [7-0]. Fault-tolerant designs of memories with redundancies have been widely used in the past. There are two possible solutions to add redundancy into a memory array: ) Spare Row or Spare Column (-D Redundancy) The memory contains spare rows or spare columns. When a fault is detected and must be repaired, the faulty row/column is replaced with one of the spare row/columns. Although it is easier to repair faulty cells, however, this approach is inefficient since a whole spare row/column should be used for repairing a single faulty cell. 2) Spare Row and Column (2-D Redundancy) With this approach, spare rows and spare columns are added in the memory array. Either a spare row or a spare column can be used to replace a faulty cell. This approach is more efficient than the first approach when multiple faulty cells are detected. However, the complexity for finding the optimal spare allocation is NP-complete [2]. Moreover, owing to the high bandwidth of embedded RAMs, more spare columns and rows are

2 required to achieve sufficient chip yield. This in turn increases the fabrication cost. For convenience, these two repair schemes are categorized as the row-based and/or column-based replacement algorithms. In order to cure these drawbacks described above, novel repair techniques are required for today s SoC era. In this paper, a novel built-in self-test and repair (BISTR) approach is proposed for semiconductor memories with -D redundancy (redundant rows) structures. The memory rows (including the redundant rows) are virtually divided into row blocks and reconfiguration is performed at the row block level instead of the traditional row level. That is, the virtual divided word line (VDWL) concept is used for repairing of memory cores. The fault-tolerant architecture can improve the yield for memory fabrication significantly. Moreover, the hardware overhead is almost negligible. An experimental chip is implemented and shows a low area overhead about 3.06% for a SRAM. We also compare the repair rate of our approach with previous memory repair algorithms. It also concludes that our approach improves the repair rate significantly. The rest of this paper is organized as follows. Section 2 introduces the proposed BISTR architecture. The BISTR procedure is described in Section 3. Experimental results are shown in Section 4. Finally, conclusions are given in Section Proposed BISTR Scheme The simplified organization of a 2 m+n bit memory chip is shown in Figure (a). Activating one of the 2 m word lines is performed by the row decoder. The column decoder selects the column whose n-bit address is applied to the decoder input. The definition of address format for our BISTR technique is shown in Figure (b). Compared with the conventional address format as shown in Figure (c), we can see that the 2 n memory columns are divided into L virtual row banks (VRBs), L = 2 l. An example is shown in Figure 2, where m = 2, n = 4, and l = 2. That is, the memory array contains four word lines (W 0 W 3 ), sixteen bit lines, and four virtual row banks (VRB 0 VRB 3 ) are obtained. Each row within a virtual row bank is called a row block (RB). In other words, the Row Address Data in Data out 2 m Row Decoder Read /Write 0 2 m - Memory Array Word line 2 n columns Column Address (a) m bits l bits n-l bits (b) (c) One cell Column Decoder 2 n One column Row Address Bank Column Row Address m bits Column n bits 2 m rows Figure (a) Simplified organization of a memory chip; (b) the proposed address format, and (c) the conventional address format. memory array is divided into sixteen row blocks and there are four row blocks in a VRB. One thing should be noted that in Figure 2, two spare rows (W 4 and W 5 ) are also added (redundant columns are omitted for simplicity). These spare rows are also divided into row blocks. Therefore, we have eight spare row blocks as redundancies. The main scenario of our reconfiguration mechanism is that a faulty row block is replaced by a redundant row block in the same bank if there still exists spare row blocks. In Figure 2, faulty row blocks are dashed. As indicated by the arrows, faulty row blocks are repaired with their corresponding spare row blocks (grayed blocks). As a result, two spare rows (five spare blocks) are sufficient for successful replacement using our virtual_block_repair (VBR) approach. However, four redundant rows are required for traditional row-based replacement approaches. It is evident that our approach will result in better repair efficiency and the cost to achieve the specified yield is minimized.

3 Figure 2 The simple concept of the row-block repair scheme. 2 m FVRB CAM block Row decoder W 0 W W M- SR r- Virtual Row Bank Address VRB 0 r : No. of spare rows (SR). m : No. of row address bit. n : No. of column address bit. VRB architecture VRB VRB 2 VRB L- c 0 c c N- Column decoder Column Address C 0 within a VRB C n-l C n- VRB Address Figure 3 The BISR architecture of the faulttolerant memories. SR r- Figure 3 shows the general built-in self-repair (BISR) architecture for the fault-tolerant memories. The core memory array has M word lines (W 0 W M- ), N bit lines (c 0 c N- ), M = 2 m and N = 2 n, respectively. The l bits of the column addresses (C n-l C n- ) are used to determine the VRB of the accessed memory cell. Moreover, n-l bits (C 0 C n- l-) of the column addresses are used to select the intended column within the specified VRB. Besides, the memory contains r spare rows ( SR r- ). In this paper, the FVRB (faulty VRB) CAM block stores and compares the row and VRB addresses of the faulty row blocks is added into the memory architecture to achieve fault tolerance. The FVRB CAM block (Figure 4) contains two fields the FW field and the VRB field. The word addresses and VRB addresses of the faulty blocks are stored in the FW field (m bits) and the VRB field (l bits), respectively. The FVRB CAM block is divided into r sub CAM blocks (SUB, SUB 2,, SUB r- ). The word address and VRB address of the ith faulty block in VRB j is stored in the jth address of SUB i (FWVRB i,j ). Therefore, each sub block contains 2 l words. A brief scenario of our BISR approach is described as follows. When a memory cell is accessed in the normal mode, the row address and VRB address is sent to the FVRB CAM block to check whether the memory cell is faulty or not. If a match comes from SUB i, then the match signal is used to activate the spare row SR i and disable the normal word lines. After that, the specified spare row block will replace the faulty row block, i.e., the accessed data could be read or written regularly. If there are faulty row blocks detected in a memory array, our approach assures that faulty row blocks are disabled and spare row blocks are enabled simultaneously. Consequently, the VBR approach can be implemented easily to replace faulty row blocks by spare row blocks. It is important to note that the repair operation is transparent to the user. The memory system never stops its operation during the repair procedure. Since the row addresses are sent to the FVRB CAM block and the original row decoder, they are decoded simultaneously. Moreover, the FVRB CAM block usually has faster decoding speed then the original row decoder. Therefore, address remapping will not suffer from significant performance penalty. In addition, the added components for our approach can be implemented with simple circuits and the hardware overhead is relatively low. FWVRB 0,0 FWVRB r-2,0 FWVRB 0,... FWVRB r-2, Generator FWVRB 0,L- Row and VRB Addresses FWVRB r-2,l- Generator SR r-2 FWVRB r-,0 FWVRB r-, FWVRB r-,l- SUB SUB r-2 SUB r- Figure 4 The FVRB CAM block Generator SR r- The block diagram of the fault-tolerant memories with built-in self-test and repair capability (BISTR) is shown in Figure 5. It consists of the BISTR module and the BISTR wrapper. The BISTR module consists of the BIST

4 module and the BISR module as shown in Figure 6. The BIST module generates test patterns to detect the faults in the memory array, including the redundant words. The generation of test patterns can be programmed. The default algorithm is the March CW algorithm []. The BISR circuit is basically the FVRB CAM block, which performs redundancy allocation using the proposed scenario as described in the previous paragraph. The wrapper consists of a 2-to- multiplexer and the analyzer. The multiplexer can be used to select the addresses from the BIST module or the original memory ports. Figure 7 shows the BISTR procedure. The BISTR procedure can be activated when turning on the power. Moreover, it can also be started by activating the BISTR mode pin. Upon the BISTR procedure is started, the BIST circuit generates the test patterns specified by the March algorithm to test the spare memory array first during the BIST Spare Array session. In order to avoid using failed spare row blocks to repair failed row blocks in the memory array, it is necessary to test the spare array first. During this session, if some faults are detected (ErrMap = ), the BISR module sets the corresponding spare row blocks unusable. After the BIST Spare Array session is finished, the BIST Memory Array session follows. The row addresses and VRB addresses of faulty blocks must be stored into the BISR circuit (FVRB CAM block). If the spare array does not have enough useable spare blocks to repair the faulty cells, the fail indicator (FAIL) goes to high. This signal indicates that the memory chip can not be repaired. When the whole memory array has been tested (Finish = ) and the repair procedure is successful (FAIL = 0), then the system can operate normally. Figure 5 Block diagram of the proposed BISTR scheme. Figure 7 The BISTR procedure. 4. Experimental Results Figure 6 Block diagram of the BISTR module Therefore, the multiplexer can switch the memories between the BISTR mode and the normal mode. The analyzer compares the data from the BIST circuit (Data_T) with the outputs from the memory (Q). If the compared results do not match, the analyzer sends the signal ErrMap to indicate the faulty status. When the ErrMap signal is activated, this signal can be used to perform the repair procedure to repair the failed memory cells. 3. BISTR Procedure We discuss the repair rate of the proposed VBR scheme. Repair rate is defined as the probability of successful reconfiguration when there are defects in the memory array. We inject random cell faults into the memory array. During the repair rate analysis, the injected faults must be detected by the BIST module first. The number of injected faults may be too large that can t be repaired by the spare array. A memory array is used to perform the analysis. The number of virtual row banks is assumed to be 4, 8, and 6, respectively. Figure 8 shows the compared results of repair rates between the Repair_Most (RM) algorithm and our VBR algorithm. We inject about 0.2% random cell faults in the memory array for this analysis. The number of spares x(y*z) means VBR algorithm that has x spare rows and RM algorithm that has y spare rows and z spare columns, i.e., x = y + z.

5 From this figure we can find that the VBR algorithm has higher repair rates. Moreover, the repair rate of VBR (6 VRBs) is higher than the VBR (8 VRBs). In other words, if there are more virtual row banks in the memory array, i.e., there are more row blocks in a row; more faulty cells can be repaired successfully. VBR (8VRB) RM VBR (4VRB) VBR (6VRB) Figure 8 The repair rate of RM algorithm and VBR algorithm. An experimental SRAM chip with the proposed BISTR capability is designed and implemented with Synopsys Design Compiler synthesis tools. According to the experimental results, the hardware overhead is 3.06%. If the capacity of the memory increases, the hardware overhead will decrease further. 5. Conclusions In this paper, a novel virtual_block_repair faulttolerant architecture based on the concept of virtual divided word line is proposed for highcapacity memories. We divide the memory cell arrays into virtual row blocks and redundancies are added at the row block level. Our fault-tolerant architecture can improve the yield for memory fabrication significantly. The reconfiguration mechanism of our architecture requires negligible hardware overhead. According to experimental results, the hardware overhead is 3.06% for a bit SRAM chip. We also compare the repair rate of our approach with previous memory repair algorithms. It also concludes that our approach improves the repair rate significantly. [] ERSO (Ed. M.-K. Lin), 997 semiconductor industry annual report, ITRS project report, Industrial Technology Research Institute (ITRI), Hsinchu, Taiwan, June 997. [2] G. E. Moore, Progress in digital integrated electronics, in Proc. IEEE IEDM, pp. -3, 975. [3] J. Dreibelbis, J. Barth, H. Kalter, and R. Kho, Processor-based built-in self-test for embedded DRAM, IEEE Journal of Solid-State Circuits, vol. 33, pp , Nov [4] S. Nakahara, K. Higeta, M. Kohno, T. Kawamura, and K. Kakitani, Built-in self-test for GHz embedded SRAMs using flexible pattern generator and new repair algorithm, International Test Conference, pp , 999. [5] C.-T. Huang, J.-R. Huang, C.-F. Wu, C.-W. Wu, T.- Y. Chang, A programmable BIST core for embedded DRAM, IEEE Design & Test of Computers, vol. 6, pp , Jan.-Mar [6] K. Zarrineh, and S. J. Upadhyaya, On programmable memory built-in self test architectures, Design, Automation and Test in Europe Conference and Exhibition, pp , Mar [7] P. Mazumder, and J. S. Yih, A novel built-in selfrepair approach to VLSI memory yield enhancement, International Test Conference, pp , Sept [8] I. Kim, Y. Zorian, G. Komoriya, H. Pham, F. P. Higgins, and J. L. Lewandowski, Built in self repair for embedded high density SRAM, International Test Conference, pp. 2-9, 998. [9] M. Horiguchi, J. Etoh, M. Aoki, K. Itoh, and T. Matsumoto, A flexible redundancy technique for high-density DRAM s, IEEE Journal of Solid-State Circuits, vol. 26, No., Jan. 99. [0] Kanad Chakraborty and Pinaki Mazumder Fault- Tolerance and Reliability Techniques for High- Density Random-Access Memories, Prentice Hall PTR Upper Saddle River, NJ 07458, [] C. F. Wu, C. T. Huang, and C. W. Wu. RAMSES: a fast memory simulator. Proc. IEEE Int. Symp. Defect and Fault Tolerance in VLSI Systems (DFT), PP , Albuquerque, Nov [2] S. Y. Kuo and W. K. Fuchs, Efficient spare allocation in reconfigurable arrays, IEEE Design & Test of Computers, vol. 4, pp. 24-3, 987. References

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement Chin-LungSu,Yi-TingYeh,andCheng-WenWu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy *GUDURU MALLIKARJUNA **Dr. P. V.N.REDDY * (ECE, GPCET, Kurnool. E-Mailid:mallikarjuna3806@gmail.com) ** (Professor,

More information

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei

More information

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic International Journal of Engineering and Applied Sciences (IJEAS) A Review paper on the Memory Built-In Self-Repair with Redundancy Logic Er. Ashwin Tilak, Prof. Dr.Y.P.Singh Abstract The Present review

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Test/Repair Area Overhead Reduction for Small Embedded SRAMs Test/Repair Area Overhead Reduction for Small Embedded SRAMs Baosheng Wang and Qiang Xu ATI Technologies Inc., 1 Commerce Valley Drive East, Markham, ON, Canada L3T 7X6, bawang@ati.com Dept. of Computer

More information

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2 Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC009) ISSN (online): 2349-0020 Efficient BISR

More information

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 Department of Electronics and Communication Engineering St. Martins Engineering

More information

Jin-Fu Li Dept. of Electrical Engineering National Central University

Jin-Fu Li Dept. of Electrical Engineering National Central University Memory Built-In Self-Repair Dept. of Electrical Engineering National Central University Jungli, Taiwan Introduction Outline Redundancy Organizations Built-In Redundancy Analysis Built-In Self-Repair Infrastructure

More information

THREE algorithms suitable for built-in redundancy analysis

THREE algorithms suitable for built-in redundancy analysis 386 IEEE TRANSACTIONS ON RELIABILITY, VOL. 52, NO. 4, DECEMBER 2003 Built-In Redundancy Analysis for Memory Yield Improvement Chih-Tsun Huang, Member, IEEE, Chi-Feng Wu, Member, IEEE, Jin-Fu Li, Member,

More information

BUILT IN REDUNDANCY ALGORITHMS FOR MEMORY YIELD ENHANCEMENT

BUILT IN REDUNDANCY ALGORITHMS FOR MEMORY YIELD ENHANCEMENT International Journal of Electronics and Communication Engineering and Technology (IJECET) Volume 9, Issue 3, May-June 2018, pp. 13 22, Article ID: IJECET_09_03_002 Available online at http://www.iaeme.com/ijecet/issues.asp?jtype=ijecet&vtype=9&itype=3

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy Philipp Öhler and Sybille Hellebrand University of Paderborn Germany {oehler,hellebrand}@uni-paderborn.de Hans-Joachim Wunderlich

More information

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Mr. Rakesh Manukonda M.Tech. in VLSI &ES, MLEC, Singarayakonda, Mr. Suresh Nakkala Asst. Prof. in E.C.E MLEC,

More information

An Efficient Parallel Transparent Diagnostic BIST

An Efficient Parallel Transparent Diagnostic BIST An Efficient Parallel Transparent Diagnostic BIST D. C. Huang and W. B. Jone Department of CS & IE, National Chung-Cheng University, Taiwan, R.O.C. Abstract- In this paper, we propose a new transparent

More information

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair C. Padmini Assistant Professor(Sr.Grade), ECE Vardhaman college of Engineering, Hyderabad, INDIA

More information

Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture

Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture , July 4-6, 2012, London, U.K. Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture Štefan Krištofík, Elena Gramatová, Member, IAENG Abstract Capacity and density of embedded

More information

Block Sparse and Addressing for Memory BIST Application

Block Sparse and Addressing for Memory BIST Application Block Sparse and Addressing for Memory BIST Application Mohammed Altaf Ahmed 1, D Elizabath Rani 2 and Syed Abdul Sattar 3 1 Dept. of Electronics & Communication Engineering, GITAM Institute of Technology,

More information

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap A Built-In Redundancy-Analysis Scheme for RAMs with D Redundancy Using D Local Bitmap Tsu-Wei Tseng, Jin-Fu Li, and Da-Ming Chang Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

Sram Cell Static Faults Detection and Repair Using Memory Bist

Sram Cell Static Faults Detection and Repair Using Memory Bist Sram Cell Static Faults Detection and Repair Using Memory Bist Shaik Moulali *, Dr. Fazal Noor Bhasha, B.Srinivas, S.Dayasagar chowdary, P.Srinivas, K. Hari Kishore Abstract Memories are one of the most

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Dr. R.K. Sharma and Aditi Sood Abstract As embedded memory area on-chip is increasing

More information

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 5 (2013), pp. 361-370 International Research Publications House http://www. irphouse.com /ijict.htm Built-in

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories

Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories , July 3 5, 2013, London, U.K. Efficient Repair Rate Estimation of Redundancy Algorithms for Embedded Memories Štefan Krištofík, Member, IAENG Abstract One important feature of redundancy analysis (RA)

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC)

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) Mr. D. Sri Harsha 1, Mr. D. Surendra Rao 2 1 Assistant Professor, Dept. of ECE, GNITC, Hyderabad

More information

Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches

Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches Post-Manufacturing ECC Customization Based on Orthogonal Latin Square Codes and Its Application to Ultra-Low Power Caches Rudrajit Datta and Nur A. Touba Computer Engineering Research Center The University

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

Optimized Built-In Self-Repair for Multiple Memories

Optimized Built-In Self-Repair for Multiple Memories Optimized Built-In Self-Repair for Multiple Memories Abstract: A new built-in self-repair (BISR) scheme is proposed for multiple embedded memories to find optimum point of the performance of BISR for multiple

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

FAST FOURIER TRANSFORM (FFT) and inverse fast

FAST FOURIER TRANSFORM (FFT) and inverse fast IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 11, NOVEMBER 2004 2005 A Dynamic Scaling FFT Processor for DVB-T Applications Yu-Wei Lin, Hsuan-Yu Liu, and Chen-Yi Lee Abstract This paper presents an

More information

Testing Configurable LUT-Based FPGAs

Testing Configurable LUT-Based FPGAs JOURNAL OF INFORMATION SCIENCE AND ENGINEERING FPGA TESTING16, 733-750 (2000) 733 Testing Configurable LUT-Based FPGAs Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei,

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 1219 Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo

More information

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM

Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM Markus Rudack Dirk Niggemeyer Laboratory for Information Technology Division Design & Test University of Hannover

More information

VLSI Architecture to Detect/Correct Errors in Motion Estimation Using Biresidue Codes

VLSI Architecture to Detect/Correct Errors in Motion Estimation Using Biresidue Codes VLSI Architecture to Detect/Correct Errors in Motion Estimation Using Biresidue Codes Harsha Priya. M 1, Jyothi Kamatam 2, Y. Aruna Suhasini Devi 3 1,2 Assistant Professor, 3 Associate Professor, Department

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM G.PRAKASH #1, S.SARAVANAN #2 #1 M.Tech, School of Computing #2 Assistant Professor, SASTRA University, Thanjavur. #1 Prakashganesh.be@gmail.com,

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM Complex test pattern generation for high speed fault diagnosis in Embedded SRAM 1 Prasanna Kumari P., 2 Satyanarayana S. V. V., 3 Nagireddy S. 1, 3 Associate professor, 2 Master of Engineering, Teegala

More information

Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test

Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test JOURNAL OF ELECTRONIC TESTING: Theory and Applications 18, 515 527, 2002 c 2002 Kluwer Academic Publishers. Manufactured in The Netherlands. Diagnostic Data Compression Techniques for Embedded Memories

More information

Optimal Built-In Self Repair Analyzer for Word-Oriented Memories

Optimal Built-In Self Repair Analyzer for Word-Oriented Memories Optimal Built-In Self Repair Analyzer for Word-Oriented Memories B.Prabhakaran 1, J.Asokan 2, Dr.G.K.D.PrasannaVenkatesan 3 Post Graduate student- ME in Communication Systems 1, Assistant Professor 2,Vice

More information

AS THE capacity and density of memory gradually

AS THE capacity and density of memory gradually 844 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 3, MARCH 2017 Hardware-Efficient Built-In Redundancy Analysis for Memory With Various Spares Jooyoung Kim, Woosung Lee,

More information

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Ch.Srujana M.Tech [EDT] srujanaxc@gmail.com SR Engineering College, Warangal. M.Sampath Reddy Assoc. Professor, Department

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Chapter 1. Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Dept. of Electrical Engineering. Jhongli, Taiwan

Chapter 1. Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Dept. of Electrical Engineering. Jhongli, Taiwan Chapter 1 Introduction to Memorie Advanced Reliable Sytem (ARES) Lab. Dept. of Electrical Engineering it Jhongli, Taiwan Outline Importance of Embedded Memorie Overview of Memory Structure 2 Embedded Memory

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University Self-Repair for Robust System Design Yanjing Li Intel Labs Stanford University 1 Hardware Failures: Major Concern Permanent: our focus Temporary 2 Tolerating Permanent Hardware Failures Detection Diagnosis

More information

Parallel-computing approach for FFT implementation on digital signal processor (DSP)

Parallel-computing approach for FFT implementation on digital signal processor (DSP) Parallel-computing approach for FFT implementation on digital signal processor (DSP) Yi-Pin Hsu and Shin-Yu Lin Abstract An efficient parallel form in digital signal processor can improve the algorithm

More information

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller Quest Journals Journal of Electronics and Communication Engineering Research ISSN:2321-5941 Volume1 ~ Issue 2 (2013) pp: 01-06 www.questjournals.org Research Paper A VLSI Implementation of High Speed FSM-based

More information

DIRECT Rambus DRAM has a high-speed interface of

DIRECT Rambus DRAM has a high-speed interface of 1600 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 34, NO. 11, NOVEMBER 1999 A 1.6-GByte/s DRAM with Flexible Mapping Redundancy Technique and Additional Refresh Scheme Satoru Takase and Natsuki Kushiyama

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy

A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan 320 Jen-Chieh Yeh, Rei-Fu Huang, and

More information

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Amruta P. Auradkar # and Dr. R. B. Shettar * # M.Tech.,2 nd year, Digital Electronics,

More information

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION ABSTRACT Harikishore.Kakarla 1, Madhavi Latha.M 2 and Habibulla Khan 3 1, 3 Department of ECE, KL University,

More information

Design and Implementation of Built-in-Self Test and Repair

Design and Implementation of Built-in-Self Test and Repair P.Ravinder, N.Uma Rani / International Journal of Engineering Research and Applications (IJERA) Design and Implementation of Built-in-Self Test and Repair P.Ravinder*, N.Uma Rani** * (Guru Nanak Institute

More information

A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies

A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies A Performance Degradation Tolerable Cache Design by Exploiting Memory Hierarchies Abstract: Performance degradation tolerance (PDT) has been shown to be able to effectively improve the yield, reliability,

More information

[Zeenath, 3(3): March, 2014] ISSN: Impact Factor: 1.852

[Zeenath, 3(3): March, 2014] ISSN: Impact Factor: 1.852 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Memory Debug Technique Using March17N BIST Ms. Zeenath Assistant Professor in Electronic & Communication Engineering at Nawab

More information

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2 www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.13 June-2014, Pages:2858-2863 Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI

More information

Reconfiguration Algorithms for Degradable VLSI Arrays with Switch Faults

Reconfiguration Algorithms for Degradable VLSI Arrays with Switch Faults Reconfiguration Algorithms for Degradable VLSI Arrays with Switch Faults Yuanbo Zhu, Wu Jigang School of Computer Science and Software Engineering Tianjin Polytechnic University Tianjin, China 300387 Email:

More information

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM B.HARIKRISHNA 1, DR.S.RAVI 2 1 Sathyabama Univeristy, Chennai, India 2 Department of Electronics Engineering, Dr. M. G. R. Univeristy, Chennai,

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

RELIABILITY MEASUREMENT OF MEMORY SYSTEM USING SPARE BLOCKS

RELIABILITY MEASUREMENT OF MEMORY SYSTEM USING SPARE BLOCKS International Journal of Electrical Engineering & Technology (IJEET) Volume 9, Issue 1, Jan-Feb 2018, pp. 18 25, Article ID: IJEET_09_01_002 Available online at http://www.iaeme.com/ijeet/issues.asp?jtype=ijeet&vtype=9&itype=1

More information

Global Built-In Self-Repair for 3D Memories with Redundancy Sharing and Parallel Testing

Global Built-In Self-Repair for 3D Memories with Redundancy Sharing and Parallel Testing Global Built-In Self-Repair for 3D Memories with Redundancy Sharing and Parallel Testing Xiaodong Wang 1 Dilip Vasudevan Hsien-Hsin S. Lee xw285@cornell.edu dv2@cs.ucc.ie leehs@gatech.edu School of Electrical

More information

Error Correction Using Extended Orthogonal Latin Square Codes

Error Correction Using Extended Orthogonal Latin Square Codes International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 9, Number 1 (2016), pp. 55-62 International Research Publication House http://www.irphouse.com Error Correction

More information

FPGA Based Low Area Motion Estimation with BISCD Architecture

FPGA Based Low Area Motion Estimation with BISCD Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3 Issue 10 October, 2014 Page No. 8610-8614 FPGA Based Low Area Motion Estimation with BISCD Architecture R.Pragathi,

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Built-in self-repair (BISR) technique widely Used to repair embedded random access memories (RAMs)

Built-in self-repair (BISR) technique widely Used to repair embedded random access memories (RAMs) Built-in self-repair (BISR) technique widely Used to repair embedded random access memories (RAMs) V.SRIDHAR 1 M.RAJENDRA PRASAD 2 1 Assistant Professor, ECE, Vidya Jyothi Institute of Technology, Hyderabad

More information

Algorithms for Efficient Runtime Fault Recovery on Diverse FPGA Architectures

Algorithms for Efficient Runtime Fault Recovery on Diverse FPGA Architectures Algorithms for Efficient Runtime Fault Recovery on Diverse FPGA Architectures John Lach UCLA EE Department jlach@icsl.ucla.edu William H. Mangione-Smith UCLA EE Department billms@ee.ucla.edu Miodrag Potkonjak

More information

Hardware Sharing Design for Programmable Memory Built-In Self Test

Hardware Sharing Design for Programmable Memory Built-In Self Test International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 6 (June 2014), PP.77-83 Hardware Sharing Design for Programmable Memory

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

ECE 1767 University of Toronto

ECE 1767 University of Toronto Memories today Fault Model MARCH algorithms Memory is the most dense physical structure - Embedded memories begin to dominate physical die area vs. logic - Memory arrays can be doubly embedded (ex: microprocessor

More information

Shortest partial path first algorithm for reconfigurable processor array with faults

Shortest partial path first algorithm for reconfigurable processor array with faults Shortest partial path first algorithm for reconfigurable processor array with faults Jigang Wu School of Computer Science and Technology Guangdong University of Technology Guangzhou, China Email: asjgwu@gmail.com

More information

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e.

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e. CHAPTER 6 FINITE STATE MACHINE BASED BUILT IN SELF TEST AND DIAGNOSIS 5.1 Introduction BIST is the technique of designing additional hardware and software features into integrated circuits to allow them

More information

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes E. Jebamalar Leavline Assistant Professor, Department of ECE, Anna University, BIT Campus, Tiruchirappalli, India Email: jebilee@gmail.com

More information

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Hamed S. Kia, and Cristinel Ababei Department of Electrical and Computer Engineering North Dakota State University

More information

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical &

More information

Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems

Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems Breaking the Energy Barrier in Fault-Tolerant Caches for Multicore Systems Paul Ampadu, Meilin Zhang Dept. of Electrical and Computer Engineering University of Rochester Rochester, NY, 14627, USA

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

ANALYSIS OF ADDER USING BIST

ANALYSIS OF ADDER USING BIST International Journal of Scientific & Engineering Research Volume 4, Issue3, March-2013 1 ANALYSIS OF ADDER USING BIST Vishwas Taneja Surendera Group of Institutions, Sri Ganganagar Email id: vishwastaneja@rediffmail.com

More information

Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System

Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System Babu. M, Saranya. S, Preethy. V, Gurumoorthy. J Abstract: The size of integrated Circuits has developed rapidly and

More information

EXPLOITING PROGRAMMABLE BIST FOR THE DIAGNOSIS OF EMBEDDED MEMORY CORES

EXPLOITING PROGRAMMABLE BIST FOR THE DIAGNOSIS OF EMBEDDED MEMORY CORES EXPLOITING POGAMMABLE BIST FO THE DIAGNOSIS OF EMBEDDED MEMOY COES D. Appello**, P. Bernardi*, A. Fudoli**, M. ebaudengo*, M. Sonza eorda*, V. Tancorre**, M. Violante* * Politecnico di Torino Dipartimento

More information

At-Speed Wordy-R-CRESTA Optimal Analyzer to Repair Word- Oriented Memories

At-Speed Wordy-R-CRESTA Optimal Analyzer to Repair Word- Oriented Memories , pp.269-280 http://dx.doi.org/10.14257/ijhit.2013.6.6.24 At-peed Wordy-R-CRETA Optimal Analyzer to Repair Word- Oriented Memories Rahebeh Niaraki Asli, hahin Khodadadi and Payam Habiby University of Guilan,

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

Performance Recovery in Direct - Mapped Faulty Caches via the Use of a Very Small Fully Associative Spare Cache

Performance Recovery in Direct - Mapped Faulty Caches via the Use of a Very Small Fully Associative Spare Cache Performance Recovery in Direct - Mapped Faulty Caches via the Use of a Very Small Fully Associative Spare Cache H. T. Vergos & D. Nikolos Computer Technology Institute, Kolokotroni 3, Patras, Greece &

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

A Partial Memory Protection Scheme for Higher Effective Yield of Embedded Memory for Video Data

A Partial Memory Protection Scheme for Higher Effective Yield of Embedded Memory for Video Data A Partial Protection Scheme for Higher Effective Yield of Embedded for Video Data Kang Yi1, Shih-Yang Cheng2, Fadi Kurdahi2, and Ahmed Eltawil2 1 School of Computer Sci. and Electrical Eng., Handong Global

More information