Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

Size: px
Start display at page:

Download "Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures"

Transcription

1 Programmable Logic Design Grzegorz Budzyń Lecture 15: Advanced hardware in FPGA structures

2 Plan Introduction PowerPC block RocketIO

3 Introduction

4 Introduction The larger the logical chip, the more additional hardware coprocessors are available Themost popular andusefulare: PCI Express ( PCIe) Endpoint/RootPort blocks Very High-speed Serial communication blocks Hardware microcontrollers Ethernet Media Access Controller blocks DSP blocks Additional RAM and clock management blocks

5 PowerPC block

6 PowerPC Key Features Not availableinthenewestchips 1, MHz processor; achieve 2,200 DMIPS using a single FPGA with two processors New 5 x 2, 128-bit crossbar switch minimizes latency and enables point-to-point connectivity Simultaneous memory bus and Processor Local Bus (PLB) access maximizes throughput Integrated DMA channels, PLB interfaces, and dedicated memory interface minimize logic utilization

7 PowerPC Block Diagram Source: [1]

8 PowerPC Key Features Auxiliary Processor Unit (APU) controller to integrate hardware accelerators and create custom co-processors Non-blocking pipelined point-to-point access to TEMAC, PCIeblocks, and FPGA logic Dedicated memory interface port for up to 128-bit data transfer per cycle to offload PLB Highly pipelined transmit and receive scattergather DMA channels to maximize data transfer rates

9 PowerPC Key Features User selectable port prioritization and operating frequenciesto optimizesystem performance CPU-intensiveoperationssuchas video and3d data processing, andfloating-pointmathcanbe offloaded Optimized hardware/software partitioning maximizes FPGA utilization and minimizes hardware cost Double/single-precision arithmetic operations using IEEE 754-compatible FPU option

10 PowerPC Design Example Source: [1]

11 PowerPC core Features A fixed-point execution unit compliant with 32-bit architecture, containing thirty-two 32-bit general purpose registers (GPRs). PowerPC embedded-environment architecture extensions providing additionalsupport for embedded-systems applications: Flexible memory management Multiply-accumulate instructions for computationally intensive applications 64-bit time base 3 timers: programmable interval timer (PIT), fixed interval

12 PowerPC core Features Performance-enhancing features, including: Static branch prediction Five-stage pipeline with single-cycle execution of most instructions, including loads and stores Multiply-accumulate instructions Hardware multiply/divide for faster integer arithmetic (4-cycle multiply, 35-cycle divide) Enhanced string and multiple-word handling Support for unaligned loads and unaligned stores to cache arrays, main memory,and on-chip memory (OCM) Minimized interrupt latency

13 PowerPC core Features Integrated instruction-cache: 16 KB, 2-way set associative Eight words (32 bytes) per cacheline Fetch line buffer Non-blocking during fetch line fills Integrated data-cache: 16 KB, 2-way set associative Eight words (32 bytes) per cacheline Read and write line buffers Programmable load and store cacheline allocation Operand forwarding during cacheline fills Non-blocking during cacheline fills and flushes

14 PowerPC core Features Flexible memory management: Translation of the 4 GB logical-address space into the physical-address space Independent control over instruction translation and protection, and data translation and protection Page-level access control using the translation mechanism Software control over the page-replacement strategy Write-through, cacheability, user-defined 0, guarded, and endian storage-attribute control for each virtualmemory region Additional protection control using zones

15 PowerPC core Organization Source: [1]

16 PCIe block

17 PCIe What s that In Xilinx devices there are available different versions of PCIe blocks in different devices The PCI Express block in Virtex-6FPGAs implements transaction layer, data link layer, and physical layer functions to provide complete PCI Express endpoint and root-port functionality with minimal FPGA logic utilization.

18 PCIe What s that

19 PCIe Key Features PCI SIG-verified Gen1 and Gen2 compliance Works with GTX transceivers to deliver PCIe endpoint and root port function Built-in hard IP frees user logic resources and reduces power Multiple PCIeblocks for increased bandwidth, multiple functions, or simultaneous implementation of endpoint and root port support in a single FPGA Scaleablebandwidth (x1, x2, x4, x8 at Gen1 and Gen2 data rates)

20 PCIe Key Features 8b/10b encode and decode Standardized user interface Easy-to-use packet-based protocol Full-duplex communication Back-to-back transactions enable greater link bandwidth utilization Supports flow control of data and discontinuation of an in-process transaction in transmit direction Supports flow control of data in receive direction Supports a maximum transaction payload of up to 1024 bytes

21 PCIe Block Diagram (Virtex) Source: [2]

22 PCIe Block Diagram (Spartan) Source: [2]

23 PCIe Applications Data communications networks Telecommunications networks Broadband wired and wireless applications Cross-connects Network interface cards Chip-to-chip and backplane interconnect Crossbar switches Wireless base stations

24 Ethernet MAC block

25 Ethernet MAC Features Fully integrated 10/100/1000 Mb/s Ethernet MAC Configurable full-duplex operation in 10/100/1000 Mb/s Configurable half-duplex operation in 10/100 Mb/s Media Independent Interface (MII) and Gigabit Media Independent Interface (GMII) when operating at 2.5V only, and Reduced Gigabit Media Independent Interface (RGMII)

26 Ethernet MAC Features 1000BASE-X Physical Coding Sublayer(PCS) and a Physical Medium Attachment(PMA) sublayerincluded for use with the Virtex-6 serial transceivers to provide a complete onchip 1000BASE-X implementation Support for 1000BASE-X overclockingup to 2500 Mb/s Serial Gigabit Media Independent Interface (SGMII) supported through the serial transceivers interfaces to external copper PHY layer for full-duplex operation

27 Ethernet MAC Features Management Data Input/Output (MDIO) interface to manage objects in the physical layer User-accessible raw statistic vector outputs Support for VLAN frames Configurable interframegap (IFG) adjustment in full-duplex operation Configurable support for jumbo frames of any length

28 Ethernet MAC Block diagram Source: [3]

29 Ethernet MAC Functional diagram Source: [3]

30 Ethernet MAC Interfaces

31 Ethernet MAC Primitive Source: [3]

32 Thank you for your attention

33 References [1] PowerPC Processor Reference Guide ; [2] LogiCOREIP Virtex-6 FPGA IntegratedBlockv1.5 for PCI Express ; [3] Virtex-6 FPGA EmbeddedTri-ModeEthernet MAC ;

Embedded Processor Block in Virtex-5 FPGAs

Embedded Processor Block in Virtex-5 FPGAs Embedded Processor Block in Virtex-5 FPGAs Reference Guide R Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development

More information

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide. UG194 (v1.7) October 17, 2008

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide. UG194 (v1.7) October 17, 2008 Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide R Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development

More information

INT G bit TCP Offload Engine SOC

INT G bit TCP Offload Engine SOC INT 10011 10 G bit TCP Offload Engine SOC Product brief, features and benefits summary: Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx/Altera FPGAs or Structured ASIC flow.

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

INT 1011 TCP Offload Engine (Full Offload)

INT 1011 TCP Offload Engine (Full Offload) INT 1011 TCP Offload Engine (Full Offload) Product brief, features and benefits summary Provides lowest Latency and highest bandwidth. Highly customizable hardware IP block. Easily portable to ASIC flow,

More information

Virtex-7 FPGA Gen3 Integrated Block for PCI Express

Virtex-7 FPGA Gen3 Integrated Block for PCI Express Virtex-7 FPGA Gen3 Integrated Block for PCI Express Product Guide Table of Contents Chapter 1: Overview Feature Summary.................................................................. 9 Applications......................................................................

More information

Accelerating System Designs Requiring High-Bandwidth Connectivity with Targeted Reference Designs

Accelerating System Designs Requiring High-Bandwidth Connectivity with Targeted Reference Designs White Paper: Spartan-6 and Virtex-6 FPGAs WP359 (v1.0) December 8, 2009 Accelerating System Designs Requiring High-Bandwidth Connectivity with Targeted Reference Designs By: Navneet Rao FPGAs that provide

More information

LogiCORE IP AXI Ethernet v6.0

LogiCORE IP AXI Ethernet v6.0 LogiCORE IP AXI Ethernet v6.0 Product Guide for Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview How To Use This Document......................................................... 5 Feature

More information

6.9. Communicating to the Outside World: Cluster Networking

6.9. Communicating to the Outside World: Cluster Networking 6.9 Communicating to the Outside World: Cluster Networking This online section describes the networking hardware and software used to connect the nodes of cluster together. As there are whole books and

More information

FCQ2 - P2020 QorIQ implementation

FCQ2 - P2020 QorIQ implementation Formation P2020 QorIQ implementation: This course covers NXP QorIQ P2010 and P2020 - Processeurs PowerPC: NXP Power CPUs FCQ2 - P2020 QorIQ implementation This course covers NXP QorIQ P2010 and P2020 Objectives

More information

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future Peter Alfke, Xilinx, Inc. Hot Chips 20, August 2008 Virtex-5 FXT A new FPGA Platform, plus a Look into the Future FPGA Evolution Moore s Law: Double density every other year New process technology, smaller

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved.

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved. + William Stallings Computer Organization and Architecture 10 th Edition 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. 2 + Chapter 3 A Top-Level View of Computer Function and Interconnection

More information

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7 DS550 April 19, 2010 Virtex-5 FPGA Embedded Tri-Mode Wrapper v1.7 Introduction The LogiCORE IP Virtex -5 FPGA Embedded Tri-Mode Wrapper automates the generation of HDL wrapper files for the Embedded Tri-Mode

More information

PowerPC 740 and 750

PowerPC 740 and 750 368 floating-point registers. A reorder buffer with 16 elements is used as well to support speculative execution. The register file has 12 ports. Although instructions can be executed out-of-order, in-order

More information

Virtex-4 Family Overview

Virtex-4 Family Overview Virtex-4 User Guide 0 Virtex-4 Family Overview DS112 (v1.1) September 10, 2004 0 0 General Description The Virtex-4 Family is the newest generation FPGA from Xilinx. The innovative Advanced Silicon Modular

More information

INT-1010 TCP Offload Engine

INT-1010 TCP Offload Engine INT-1010 TCP Offload Engine Product brief, features and benefits summary Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx or Altera FPGAs INT-1010 is highly flexible that is

More information

LogiCORE IP AXI DMA (v3.00a)

LogiCORE IP AXI DMA (v3.00a) DS781 March 1, 2011 Introduction The AXI Direct Memory Access (AXI DMA) core is a soft Xilinx IP core for use with the Xilinx Embedded Development Kit (EDK). The AXI DMA engine provides high-bandwidth

More information

A Next Generation Home Access Point and Router

A Next Generation Home Access Point and Router A Next Generation Home Access Point and Router Product Marketing Manager Network Communication Technology and Application of the New Generation Points of Discussion Why Do We Need a Next Gen Home Router?

More information

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description C55x DSP Operating at 125 MHz, Providing up to 250 MIPS MIPS32 4KEc 32-Bit RISC Processor, Operating at 165 MHz, Providing up to 223 Dhrystone MIPS On-Chip Peripherals Include: External Memory Interface

More information

DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM

DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM DESIGN AND IMPLEMENTATION OF AN AVIONICS FULL DUPLEX ETHERNET (A664) DATA ACQUISITION SYSTEM Alberto Perez, Technical Manager, Test & Integration John Hildin, Director of Network s John Roach, Vice President

More information

LogiCORE IP Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v2.3

LogiCORE IP Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v2.3 LogiCORE IP Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v2.3 User Guide Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide. UG194 (v1.10) February 14, 2011

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide. UG194 (v1.10) February 14, 2011 Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of

More information

Understanding Performance of PCI Express Systems

Understanding Performance of PCI Express Systems White Paper: Virtex-4 and Virtex-5 FPGAs R WP350 (v1.1) September 4, 2008 Understanding Performance of PCI Express Systems By: Alex Goldhammer and John Ayer Jr. PCI Express technology, which is a serialized

More information

H100 Series FPGA Application Accelerators

H100 Series FPGA Application Accelerators 2 H100 Series FPGA Application Accelerators Products in the H100 Series PCI-X Mainstream IBM EBlade H101-PCIXM» HPC solution for optimal price/performance» PCI-X form factor» Single Xilinx Virtex 4 FPGA

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

LogiCORE IP AXI DMA (v4.00.a)

LogiCORE IP AXI DMA (v4.00.a) DS781 June 22, 2011 Introduction The AXI Direct Memory Access (AXI DMA) core is a soft Xilinx IP core for use with the Xilinx Embedded Development Kit (EDK). The AXI DMA engine provides high-bandwidth

More information

Lecture 7: Introduction to Co-synthesis Algorithms

Lecture 7: Introduction to Co-synthesis Algorithms Design & Co-design of Embedded Systems Lecture 7: Introduction to Co-synthesis Algorithms Sharif University of Technology Computer Engineering Dept. Winter-Spring 2008 Mehdi Modarressi Topics for today

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

PE3G4TSFI35P Quad Port Fiber Gigabit Ethernet PCI Express Time Stamp Server Adapter Intel Based

PE3G4TSFI35P Quad Port Fiber Gigabit Ethernet PCI Express Time Stamp Server Adapter Intel Based PE3G4TSFI35P Quad Port Fiber Gigabit Ethernet PCI Express Time Stamp Server Adapter Intel Based Product Description Silicom s Quad SFP Gigabit Ethernet PCI Express Time Stamping server adapter is designed

More information

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (3 rd Week)

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (3 rd Week) + (Advanced) Computer Organization & Architechture Prof. Dr. Hasan Hüseyin BALIK (3 rd Week) + Outline 2. The computer system 2.1 A Top-Level View of Computer Function and Interconnection 2.2 Cache Memory

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Module Introduction. Purpose This training module covers 68K/ColdFire Ethernet and USB

Module Introduction. Purpose This training module covers 68K/ColdFire Ethernet and USB Module Introduction Purpose This training module covers 68K/ColdFire Ethernet and USB Objectives Describe the features of the 10/100 Fast Ethernet Controller module Explain the USB device controllers available

More information

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4 DS710 April 19, 2010 Introduction The LogiCORE IP Virtex -6 FPGA Embedded Tri- Mode Ethernet MAC Wrapper automates the generation of HDL wrapper files for the Embedded Tri- Mode Ethernet MAC (Ethernet

More information

1G Bit TCP+UDP Offload Engine (TOE+UOE) Hardware IP Core

1G Bit TCP+UDP Offload Engine (TOE+UOE) Hardware IP Core Intilop Corporation 4800 Great America Pkwy Ste-231 Santa Clara, CA 95054 Ph: 408-496-0333 Fax:408-496-0444 www.intilop.com 1G bit TCP+UDP Offload Engine MAC + Host_IF (Same PHY Port) INT 2511 (Ultra-Low

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

Hercules ARM Cortex -R4 System Architecture. Processor Overview

Hercules ARM Cortex -R4 System Architecture. Processor Overview Hercules ARM Cortex -R4 System Architecture Processor Overview What is Hercules? TI s 32-bit ARM Cortex -R4/R5 MCU family for Industrial, Automotive, and Transportation Safety Hardware Safety Features

More information

Jakub Cabal et al. CESNET

Jakub Cabal et al. CESNET CONFIGURABLE FPGA PACKET PARSER FOR TERABIT NETWORKS WITH GUARANTEED WIRE- SPEED THROUGHPUT Jakub Cabal et al. CESNET 2018/02/27 FPGA, Monterey, USA Packet parsing INTRODUCTION It is among basic operations

More information

Purpose This course provides an overview of the SH-2A 32-bit RISC CPU core built into newer microcontrollers in the popular SH-2 series

Purpose This course provides an overview of the SH-2A 32-bit RISC CPU core built into newer microcontrollers in the popular SH-2 series Course Introduction Purpose This course provides an overview of the SH-2A 32-bit RISC CPU core built into newer microcontrollers in the popular SH-2 series Objectives Acquire knowledge about the CPU s

More information

PE2G6SFPI35 Six Port SFP Gigabit Ethernet PCI Express Server Adapter Intel i350am4 Based

PE2G6SFPI35 Six Port SFP Gigabit Ethernet PCI Express Server Adapter Intel i350am4 Based PE2G6SFPI35 Six Port SFP Gigabit Ethernet PCI Express Server Adapter Intel i350am4 Based Product Description Silicom s Six Port SFP Gigabit Ethernet PCI Express Server adapter is PCI-Express X8 network

More information

LogiCORE IP Quad Serial Gigabit Media Independent v1.2

LogiCORE IP Quad Serial Gigabit Media Independent v1.2 LogiCORE IP Quad Serial Gigabit Media Independent v1.2 Product Guide Table of Contents Chapter 1: Overview System Overview.................................................................. 6 Feature Summary..................................................................

More information

PE2G6I35 Six Port Copper Gigabit Ethernet PCI Express Server Adapter Intel i350am2 Based

PE2G6I35 Six Port Copper Gigabit Ethernet PCI Express Server Adapter Intel i350am2 Based PE2G6I35 Six Port Copper Gigabit Ethernet PCI Express Server Adapter Intel i350am2 Based Product Description Silicom s Six Port Copper Gigabit Ethernet PCI Express Server adapter is PCI-Express X8 network

More information

LogiCORE IP Quad Serial Gigabit Media Independent v1.1 Product Guide

LogiCORE IP Quad Serial Gigabit Media Independent v1.1 Product Guide LogiCORE IP Quad Serial Gigabit Media Independent v1.1 Product Guide Table of Contents Chapter 1: Overview System Overview............................................................ 5 Applications.................................................................

More information

Efficiency and memory footprint of Xilkernel for the Microblaze soft processor

Efficiency and memory footprint of Xilkernel for the Microblaze soft processor Efficiency and memory footprint of Xilkernel for the Microblaze soft processor Dariusz Caban, Institute of Informatics, Gliwice, Poland - June 18, 2014 The use of a real-time multitasking kernel simplifies

More information

PE2G4SFPI35L Quad Port SFP Gigabit Ethernet PCI Express Server Adapter Intel i350am4 Based

PE2G4SFPI35L Quad Port SFP Gigabit Ethernet PCI Express Server Adapter Intel i350am4 Based PE2G4SFPI35L Quad Port SFP Gigabit Ethernet PCI Express Server Adapter Intel i350am4 Based Product Description Silicom s Quad Port SFP Gigabit Ethernet PCI Express Server adapter is PCI-Express X4 SFP

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

LogiCORE IP Quad Serial Gigabit Media Independent v1.3

LogiCORE IP Quad Serial Gigabit Media Independent v1.3 LogiCORE IP Quad Serial Gigabit Media Independent v1.3 Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview System Overview..................................................................

More information

Reference System: XPS LL Tri-Mode Ethernet MAC Embedded Systems for MicroBlaze and PowerPC Processors Author: Ed Hallett

Reference System: XPS LL Tri-Mode Ethernet MAC Embedded Systems for MicroBlaze and PowerPC Processors Author: Ed Hallett XAPP1041 (v2.0) September 24, 2008 Application Note: Embedded Processing eference System: XPS LL Tri-Mode Ethernet MAC Embedded Systems for MicroBlaze and PowerPC Processors Author: Ed Hallett Abstract

More information

AXI4 Interconnect Paves the Way to Plug-and-Play IP

AXI4 Interconnect Paves the Way to Plug-and-Play IP White Paper: Virtex-6 and Spartan-6 FPGAs WP379 (v1.0) October 5, 2010 4 Interconnect Paves the Way to Plug-and-Play IP By: Navanee Sundaramoorthy, Navneet Rao, and Tom Hill In the past decade, the size

More information

LogiCORE IP Tri-Mode Ethernet MAC v5.2

LogiCORE IP Tri-Mode Ethernet MAC v5.2 LogiCORE IP Tri-Mode Ethernet MAC v5.2 User Guide [optional] [optional] Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of

More information

LogiCORE IP Quad Serial Gigabit Media Independent v2.0

LogiCORE IP Quad Serial Gigabit Media Independent v2.0 LogiCORE IP Quad Serial Gigabit Media Independent v2.0 Product Guide for Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview System Overview..................................................................

More information

Interconnecting Components

Interconnecting Components Interconnecting Components Need interconnections between CPU, memory, controllers Bus: shared communication channel Parallel set of wires for data and synchronization of data transfer Can become a bottleneck

More information

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Craig Ulmer cdulmer@sandia.gov July 26, 2007 Craig Ulmer SNL/CA Sandia is a multiprogram laboratory operated by Sandia Corporation,

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) DS799 June 22, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

LogiCORE IP AXI Video Direct Memory Access v4.00.a

LogiCORE IP AXI Video Direct Memory Access v4.00.a LogiCORE IP AXI Video Direct Memory Access v4.00.a Product Guide Table of Contents Chapter 1: Overview Feature Summary............................................................ 9 Applications................................................................

More information

UDP1G-IP reference design manual

UDP1G-IP reference design manual UDP1G-IP reference design manual Rev1.1 14-Aug-18 1 Introduction Comparing to TCP, UDP provides a procedure to send messages with a minimum of protocol mechanism, but the data cannot guarantee to arrive

More information

Quad Serial Gigabit Media Independent v3.4

Quad Serial Gigabit Media Independent v3.4 Quad Serial Gigabit Media Independent v3.4 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview System Overview..................................................................

More information

KeyStone C66x Multicore SoC Overview. Dec, 2011

KeyStone C66x Multicore SoC Overview. Dec, 2011 KeyStone C66x Multicore SoC Overview Dec, 011 Outline Multicore Challenge KeyStone Architecture Reminder About KeyStone Solution Challenge Before KeyStone Multicore performance degradation Lack of efficient

More information

OE2G2I35 Dual Port Copper Gigabit Ethernet OCP Mezzanine Adapter Intel I350BT2 Based

OE2G2I35 Dual Port Copper Gigabit Ethernet OCP Mezzanine Adapter Intel I350BT2 Based OE2G2I35 Dual Port Copper Gigabit Ethernet OCP Mezzanine Adapter Intel I350BT2 Based Product Description Silicom s Gigabit Ethernet Open Compute Project (OCP) mezzanine adapter is designed for use with

More information

Course Introduction. Purpose: Objectives: Content: Learning Time:

Course Introduction. Purpose: Objectives: Content: Learning Time: Course Introduction Purpose: This course provides an overview of the Renesas SuperH series of 32-bit RISC processors, especially the microcontrollers in the SH-2 and SH-2A series Objectives: Learn the

More information

Embedded Computing Platform. Architecture and Instruction Set

Embedded Computing Platform. Architecture and Instruction Set Embedded Computing Platform Microprocessor: Architecture and Instruction Set Ingo Sander ingo@kth.se Microprocessor A central part of the embedded platform A platform is the basic hardware and software

More information

PE2G6BPi35 Six Port Copper Gigabit Ethernet PCI Express Bypass Server Adapter Intel based

PE2G6BPi35 Six Port Copper Gigabit Ethernet PCI Express Bypass Server Adapter Intel based PE2G6BPi35 Six Port Copper Gigabit Ethernet PCI Express Bypass Server Adapter Intel based Description Silicom s Six Port Copper Gigabit Ethernet PCI Express Bypass Server adapter is PCI-Express X8 network

More information

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20 University of Pannonia Dept. Of Electrical Engineering and Information Systems Hardware Design MicroBlaze v.8.10 / v.8.20 Instructor: Zsolt Vörösházi, PhD. This material exempt per Department of Commerce

More information

LogiCORE IP AXI DMA v6.01.a

LogiCORE IP AXI DMA v6.01.a LogiCORE IP AXI DMA v6.01.a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Typical System Interconnect......................................................... 8 Operating

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors

PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors June 24, 2010 PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors FTF-NET-F0568 Patrick B. Billings NMG, NPD Applications Engineering and VortiQa are trademarks of

More information

High-Performance Integer Factoring with Reconfigurable Devices

High-Performance Integer Factoring with Reconfigurable Devices FPL 2010, Milan, August 31st September 2nd, 2010 High-Performance Integer Factoring with Reconfigurable Devices Ralf Zimmermann, Tim Güneysu, Christof Paar Horst Görtz Institute for IT-Security Ruhr-University

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Implementation of DSP Algorithms

Implementation of DSP Algorithms Implementation of DSP Algorithms Main frame computers Dedicated (application specific) architectures Programmable digital signal processors voice band data modem speech codec 1 PDSP and General-Purpose

More information

End-to-End Adaptive Packet Aggregation for High-Throughput I/O Bus Network Using Ethernet

End-to-End Adaptive Packet Aggregation for High-Throughput I/O Bus Network Using Ethernet Hot Interconnects 2014 End-to-End Adaptive Packet Aggregation for High-Throughput I/O Bus Network Using Ethernet Green Platform Research Laboratories, NEC, Japan J. Suzuki, Y. Hayashi, M. Kan, S. Miyakawa,

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) DS799 March 1, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Systems Architecture II

Systems Architecture II Systems Architecture II Topics Interfacing I/O Devices to Memory, Processor, and Operating System * Memory-mapped IO and Interrupts in SPIM** *This lecture was derived from material in the text (Chapter

More information

Benchmarking the Performance of the Virtex-4 10/100/1000 TEMAC System Author: Kris Chaplin

Benchmarking the Performance of the Virtex-4 10/100/1000 TEMAC System Author: Kris Chaplin Application Note: Embedded Processing XAPP1023 (v1.0) October 3, 2007 Benchmarking the Performance of the Virtex-4 10/100/1000 TEMAC System Author: Kris Chaplin Abstract This application note provides

More information

Virtex-5 GTP Aurora v2.8

Virtex-5 GTP Aurora v2.8 0 DS538 October 10, 2007 0 0 Introduction The Virtex -5 GTP Aurora core implements the Aurora protocol using the high-speed serial GTP transceivers in Virtex-5 LXT and SXT devices. The core can use up

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

Enabling success from the center of technology. Networking with Xilinx Embedded Processors

Enabling success from the center of technology. Networking with Xilinx Embedded Processors Networking with Xilinx Embedded Processors Goals 2 Identify the major components in a processor-based networking system, and how they interact Understand how to match hardware and software network components

More information

نﺎﻬﻔﺻا ﻲﺘﻌﻨﺻ هﺎﮕﺸﻧاد ﺮﺗﻮﻴﭙﻣﺎﻛ و قﺮﺑ هﺪﻜﺸﻧاد

نﺎﻬﻔﺻا ﻲﺘﻌﻨﺻ هﺎﮕﺸﻧاد ﺮﺗﻮﻴﭙﻣﺎﻛ و قﺮﺑ هﺪﻜﺸﻧاد دانشگاه صنعتي اصفهان دانشكده برق و كامپيوتر Embedded processor علي مجيدي 8604664 1 1 2 Atmel Atmel Corporation, founded in 1984 Headquarters San Jose, California, USA focus on flash microcontrollers secured

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

PowerPC 405 APU Controller

PowerPC 405 APU Controller Chapter 4 PowerPC 405 APU Controller This chapter only applies to the PowerPC 405 processor in the Virtex-4-FX family and covers the following topics: FCM Instruction Processing APU Controller Configuration

More information

KeyStone C665x Multicore SoC

KeyStone C665x Multicore SoC KeyStone Multicore SoC Architecture KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations

More information

LogiCORE IP AXI DMA v6.02a

LogiCORE IP AXI DMA v6.02a LogiCORE IP AXI DMA v6.02a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Operating System Requirements..................................................... 8 Feature Summary..................................................................

More information

Final Lecture. A few minutes to wrap up and add some perspective

Final Lecture. A few minutes to wrap up and add some perspective Final Lecture A few minutes to wrap up and add some perspective 1 2 Instant replay The quarter was split into roughly three parts and a coda. The 1st part covered instruction set architectures the connection

More information

High-Performance Linear Algebra Processor using FPGA

High-Performance Linear Algebra Processor using FPGA High-Performance Linear Algebra Processor using FPGA J. R. Johnson P. Nagvajara C. Nwankpa 1 Extended Abstract With recent advances in FPGA (Field Programmable Gate Array) technology it is now feasible

More information

10G bit UDP Offload Engine (UOE) MAC+ PCIe SOC IP

10G bit UDP Offload Engine (UOE) MAC+ PCIe SOC IP Intilop Corporation 4800 Great America Pkwy Ste-231 Santa Clara, CA 95054 Ph: 408-496-0333 Fax:408-496-0444 www.intilop.com 10G bit UDP Offload Engine (UOE) MAC+ PCIe INT 15012 (Ultra-Low Latency SXUOE+MAC+PCIe+Host_I/F)

More information

PE310G4BPI40-T Bypass Adapter Quad port Copper 10 Gigabit Ethernet PCI Express Bypass Server Intel x540 Based

PE310G4BPI40-T Bypass Adapter Quad port Copper 10 Gigabit Ethernet PCI Express Bypass Server Intel x540 Based PE310G4BPI40-T Bypass Adapter Quad port Copper 10 Gigabit Ethernet PCI Express Bypass Server Intel x540 Based Product Description Silicom s quad port Copper 10 Gigabit Ethernet Bypass server adapter is

More information

Summary of Computer Architecture

Summary of Computer Architecture Summary of Computer Architecture Summary CHAP 1: INTRODUCTION Structure Top Level Peripherals Computer Central Processing Unit Main Memory Computer Systems Interconnection Communication lines Input Output

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

PowerPC on NetFPGA CSE 237B. Erik Rubow

PowerPC on NetFPGA CSE 237B. Erik Rubow PowerPC on NetFPGA CSE 237B Erik Rubow NetFPGA PCI card + FPGA + 4 GbE ports FPGA (Virtex II Pro) has 2 PowerPC hard cores Untapped resource within NetFPGA community Goals Evaluate performance of on chip

More information

PE2G4SFPI80 Quad Port SFP Gigabit Ethernet PCI Express Server Adapter Intel 82580EB Based

PE2G4SFPI80 Quad Port SFP Gigabit Ethernet PCI Express Server Adapter Intel 82580EB Based PE2G4SFPI80 Quad Port SFP Gigabit Ethernet PCI Express Server Adapter Intel 82580EB Based Product Description Silicom s Quad Port SFP Gigabit Ethernet PCI Express Server adapter is PCI-Express X4 SFP Gigabit

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

systems such as Linux (real time application interface Linux included). The unified 32-

systems such as Linux (real time application interface Linux included). The unified 32- 1.0 INTRODUCTION The TC1130 is a highly integrated controller combining a Memory Management Unit (MMU) and a Floating Point Unit (FPU) on one chip. Thanks to the MMU, this member of the 32-bit TriCoreTM

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information