Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017

Size: px
Start display at page:

Download "Final Presentation. Network on Chip (NoC) for Many-Core System on Chip in Space Applications. December 13, 2017"

Transcription

1 Final Presentation Network on Chip (NoC) for Many-Core System on Chip in Space Applications December 13, 2017 Dr. ir. Gerard Rauwerda

2 NoC round table Network-on-Chip (NoC) round table co-organized by ESA and CNES in 2009: future SoC developments for space applications would benefit significantly from the use of NoC technology; no NoC IP was generally available for the space community without entailing specific modifications or adaptations. (c) 2017 Recore Systems BV 2

3 Contract details ITT No.: AO/1-8166/14/NL/LF ESA Contract No.: /15/NL/LF Prime Contractor: Recore Systems BV, The Netherlands Sub-Contractor: Cobham Gaisler AB, Sweden (c) 2017 Recore Systems BV 3

4 Our objectives To propose and define Network-on-Chip technology; To propose hardening of the proposed NoC technology; To implement the NoC IP in VHDL (for SoC design); To implement functional SystemC (for early software development); To demonstrate the NoC IP capabilities implementing a reference design (use case); To validate the NoC IP using rad-hard CMOS target technology. (c) 2017 Recore Systems BV 4

5 Results NoC IP technology for future System-on-Chip (SoC) available in ESA s IP core portfolio for the European space industry; and NoC IP validated with state-of-the-art space proven IP components for hardened ASIC process technologies. (c) 2017 Recore Systems BV 5

6 Baseline Network-on-Chip Recore s NoC already used in MPPB, XentiumDARE and SSDP

7 Baseline NoC (1/2) Used in MPPB, XentiumDARE, SSDP Packet-switched routing 5 port routers 4 services, priority based for throughput and latency guarantees Memory mapped communication protocol layer X-Y routing deadlock free design-time layout of tiles based on estimated traffic load (c) 2017 Recore Systems BV 7

8 Baseline NoC (2/2) Before this activity: NoC technology integrated and part of sub-system / SoC design Fault-tolerance not integral part of NoC After this activity: NoC IP available as stand-alone IP package Including documentation, stand-alone testbench Fault-tolerance improvements (c) 2017 Recore Systems BV 8

9 Baseline NoC extensions sent p acket received packet T P H... T P H X-Y rou ting Adaptive XY-routing to provide data rerouting in the NoC Flit-level flow control Enable the insertion of EDAC on data links to increase robustness of flits (c) 2017 Recore Systems BV 9

10 NoC architecture IP IP NoC IF NI-MS R NoC IF NI-MS R Network Interface M = NoC Master S = NoC Slave IP NoC IF NI-MS Xentium 0 NI-MS IP NoC IF NI-MS IP NoC IF NI-MS R R R R Router AHB-NoC Bridge NI-MS IP NoC IF NI-MS IP NoC IF NI-MS IP NoC IF NI-MS Link R R R R IP NoC IF NI-MS IP NoC IF NI-MS Channel R R (c) 2017 Recore Systems BV 10

11 NoC protocol Transactions (1/3) NoC Master (1) initiate a transaction (2) reply package (for read) NoC Slave Each transaction is built from a couple of flits of different types (e.g., header, payload, and tail): Interrupt / Signaling Single Read Single Write (no Acknowledgement reply) Block Read Block Write (no Acknowledgement reply) Block Write with Interrupt reply (c) 2017 Recore Systems BV 11

12 NoC protocol Transactions (2/3) HOST LEON (1) Configuration + start (3) EOT interrupt (end of DMA transfer) DMA (Master) Indirect Transfer Transfer from slave-to-slave initiated by a master (2-a) Indirect transfer command Source Slave (2-b) Block write (2-c) EOT signal (end of NoC transfer) Destination Slave (c) 2017 Recore Systems BV 12

13 NoC protocol Transactions (3/3) HOST LEON (1) Configuration + start (3) EOT interrupt (end of DMA transfer) DMA (Master) Self Transfer (2-a) Indirect transfer command (source = destination) Special case of indirect transfer: source slave and destination slave is the same NoC device Example: data copy in same memory Source & Destination Slave (2-c) EOT signal (end of NoC transfer) (c) 2017 Recore Systems BV 13

14 Fault Resilience Investigations and extensions on baseline NoC

15 NoC layered architecture NoC Hardware SW higher layers transport layer network layer data Link layer network layer data link layer NoC application NoC operating system packet transmission between peers packet routing flit transmission and flow control processing elements network interfaces switches with routing functionality physical layer phy phy physical transmission of phits interconnect links Network-on-Chips layers and modules M. Radetzki, et al., Methods for Fault Tolerance in Networks on Chip, ACM Computing Surveys, 2013 (c) 2017 Recore Systems BV 15

16 Fault Model Packet Loss Packet Corruption (c) 2017 Recore Systems BV 16

17 Fault Mitigation Techniques Packet Packet Packet-Level Flow Control Mitigation techniques are proposed to be implemented in datalink and network layer. (c) 2017 Recore Systems BV 17

18 NoC improvements Robustness Error handling, error signalling embedded as side-signals in flit Static error correction Detour/rerouting of traffic in NoC also improves traffic load balance Transient error resilience FF hardening (e.g. ST65Space, DARE180) synthesis support Transient error detection / correction instantiate & integrate EDAC mechanisms on data CRC on payload EDAC flit hardening with error signalling (c) 2017 Recore Systems BV 18

19 NoC improvements Data rerouting in NoC (1/2) Xentium 0 IP X-Y routing detour from (1, 1) detour from (1, 0) X-Y Routing West-First Routing Allowed Turns Not Allowed Turns (c) 2017 Recore Systems BV 19

20 NoC improvements Data rerouting in NoC (2/2) Detour LUT registers contain modified packet header information with intermediate router coordinates Y ,3 1,3 2,3 3,3 Xentium 0 NI-MS 0,2 1,2 2,2 3,2 0,1 1,1 2,1 3,1 Xentium 0 NI configuration interface Detour look-up-table intermediate router IP NoC IF NI-MS 1,2 0 0,0 1,0 2,0 3, X (c) 2017 Recore Systems BV 20

21 NoC IP NoC IP components and testbenches

22 NoC IP components AMBA NI (NoC bridge interface) NoC router (c) 2017 Recore Systems BV 22

23 ... NoC router testbench Slave Master Slave Master Testbench Router Router... for a broken link: assert is automated generated Slave Master Router Router assert is triggered when a non-idle flit is sent through a broken link Router... Defect Map (c) 2017 Recore Systems BV 23

24 AMBA NI (c) 2017 Recore Systems BV 24

25 AMBA NI (MNI) Master Network Interface: Initiates requests on NoC to Slaves Reacts on replies from Slaves Reacts on IRQ messages from NoC NoC_Out_Irq MNI SVC0 CR SVC0 In AHB-S block_ allowed SVC123 sel_svc123 Request FSM Reply FSM SVC3 SVC2 SVC1 SVC3 SVC2 SVC1 CR Arbiter Out Arbiter Out (c) 2017 Recore Systems BV 25

26 Local Bus Arbiter (LBA) Credit Control Logic (SVC1,2,3) Slave Network Interface: AMBA NI (SNI) Reacts on requests from Master Initiates replies to Master Initiates IRQ messages on NoC SNI_wo_FC Local Bus Handler 3 (LBH) SVC3 Service Handler Input 3 (SHI) Service Handler Output 3 (SH0) noc_type_in3 noc_full_out3 noc_type_out3 noc_full_in3 Local Bus Interface Local Bus Handler 2 (LBH) Service Handler Input 2 (SHI) Service Handler Output 2 (SH0) Irq requests for unmapped memory address accesses Local Bus Handler 1 (LBH) Service Handler Input 1 (SHI) Service Handler Output 1 (SH0) Interrupt Service Handler (ISH/SVC0) Irq requests for indirect, block write w/ irq noc_type_out0 noc_full_in0 NoC_In_Irq (c) 2017 Recore Systems BV 26

27 AMBA NI test bench (c) 2017 Recore Systems BV 27

28 AMBA-NI functional verification AMBA-NI IP Configurations Full with both AHB master and slave IF Only AHB master IF (SNI - NoC2AHB) Only AHB slave IF (MNI - AHB2NoC) AMBA-NI Verification Configurations IP testbench (released with IP package) IP NoC mesh test bench (in-house) SNI IP Block test bench (in-house) (c) 2017 Recore Systems BV 28

29 NoC performance testing NoC IP performance monitoring

30 NoC event monitor NoC monitor wrapped around each router; monitors Throuhput, latency, Error Events (c) 2017 Recore Systems BV 30

31 ... NoC router testbench extension Slave Master Slave Master Testbench Router Router... Master Slave Router... Defect Map (c) 2017 Recore Systems BV 31

32 Heatmap (no detour) out 705 in out 772 in 2 in 665 out in 695 out in out in out in out out in out in out in out 562 in out 648 in 1 in 722 out in 684 out in out in out in out out in out in out in out 769 in out 629 in 0 in 744 out in 844 out (c) 2017 Recore Systems BV 32

33 Heatmap (detour for traffic balance) out 723 in out 683 in 2 in 714 out in 779 out in out in out in out out in out in out in out 614 in out 590 in 1 in 693 out in 705 out in out in out in out out in out in out in out 502 in out 551 in 0 in 643 out in 516 out (c) 2017 Recore Systems BV 33

34 Heatmap (detour broken link) out 683 in out 556 in 2 in 711 out in 711 out in out in out in out out in out in out in out 816 in out 622 in 1 in 746 out in 729 out in out in out in out out in out in out in out 287 in out 551 in 0 in 675 out in 404 out (c) 2017 Recore Systems BV 34

35 NoC reference system FPGA reference NoC implementation and multi-core software use-case

36 Master LEONEL Slave Master SpW Slave Router Router Slave Scalable 2D-mesh many-core Master LEONEL DDR Slave Router Router architecture SpaceWire element (0,0): connects GRSPW2 SpaceWire controller Memory element (0,1): AHB slave memory LEONEL (1,0): connects LEON3FT Processing Element LEONEL (1,1): connects LEON3FT Processing Element (c) 2017 Recore Systems BV 36

37 LEONEL LEONEL LEONEL Router Router Router LEONEL LEONEL LEONEL Router Router Router SpW DDR LEONEL Router Router Router (c) 2017 Recore Systems BV 37

38 LEONEL: Leon3 Processing Element (c) 2017 Recore Systems BV 38

39 Synthesis Target clock frequencies 180MHz, 500MHz and 1GHz for DARE180, ST C65SPACE and ST 28nm FD-SOI. NoC router is estimated ~25 kgates (version with all directions connected) full AMBA Network Interface is estimated ~70 kgates (full version) Reference system available for Xilinx 7-Series and Virtex-5 NoC Router: Slices / LUTs AMBANI: Slices / LUTs (c) 2017 Recore Systems BV 39

40 Test case validation software The NoC reference system includes validation software The test software uses a parallelized version of the OpenJPEG library to compress an image. The program is divided into one main control program that handles the sequential part of the code running on LEONEL0, and multiple worker programs that perform the parallel part of the work running on LEONEL1-LEONEL7. (c) 2017 Recore Systems BV 40

41 Wrapup Final results

42 ESA IP core availability NoC IP available as ESA IP core for ESA s own requirements. VHDL implementation of NoC IP SystemC model of NoC IP for early software development ASIC Synthesis scripts FPGA reference projects compatible with GRLIB with compression software use case (c) 2017 Recore Systems BV 42

43 Conclusion The activity resulted in NoC IP: NoC router AMBA-to-NoC Network Interface (AMBANI) The IP has been integrated in a reference design The IP can be synthesized and targetted to different ASIC and FPGA technologies Performance tools are integrated in the NoC mesh testbench SystemC models for early software development are available (c) 2017 Recore Systems BV 43

44 GPI/O GPI/O GPI/O GPI/O GPI/O GPI/O GPI/O GPI/O Ready for the next step? Heterogeneous many-core SoC Xentium VLIW DSP core in rad.-hard 65nm CMOS Clock: 300 MHz Performance: 1.8 GFLOPs/s NoC per link: 9.6 Gbit/s Area: 1.1 mm 2 75% gates utilization Including NoC interface Many-core SoC example 48 Xentium processing tiles 16 memory tiles 60 NoC routers 8 8 mesh 60 Giga MACs/s 90 GFLOPs/s GPP 1 GPP 2 SRAM SRAM I/O I/O I/O I/O bridge bridge bridge bridge bridge bridge bridge bridge bridge GPI/O GPI/O GPI/O GPI/O GPI/O GPI/O GPI/O GPI/O bridge bridge bridge bridge bridge bridge bridge bridge DAC ADC Bridge to analogue front-end 1 Bridge to analogue front-end 2 (c) 2017 Recore Systems BV 44

Scalable Sensor Data Processor Development Status DSP Day - September 2014

Scalable Sensor Data Processor Development Status DSP Day - September 2014 Scalable Sensor Data Processor Development Status DSP Day - September 2014 83230347-DOC-TAS-EN-003 Presentation of the SSDP ASIC Program & Context SSDP will be the first Space Qualified European multi-core

More information

Massively Parallel Processor Breadboarding (MPPB)

Massively Parallel Processor Breadboarding (MPPB) Massively Parallel Processor Breadboarding (MPPB) 28 August 2012 Final Presentation TRP study 21986 Gerard Rauwerda CTO, Recore Systems Gerard.Rauwerda@RecoreSystems.com Recore Systems BV P.O. Box 77,

More information

Scalable Sensor Data Processor: Testing and Validation

Scalable Sensor Data Processor: Testing and Validation Scalable Sensor Data Processor: Testing and Validation R. Pinto a, L. Berrojo, L. Gomez, F. Piarrette, P. Sanchez, E. Garcia, R. Trautner b, G. Rauwerda c, K. Sunesen, S. Redant d, S. Habinc e, J. Andersson,

More information

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications

Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Processor and Peripheral IP Cores for Microcontrollers in Embedded Space Applications Presentation at ADCSS 2010 MESA November 4 th, 2010 www.aeroflex.com/gaisler Presentation outline Microcontroller requirements

More information

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications

SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications SoCWire: a SpaceWire inspired fault tolerant Network on Chip approach for reconfigurable System-on-Chip in Space applications Björn Osterloh Institute of Computer and Network Engineering TU Braunschweig,

More information

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH

Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Multi-DSP/Micro-Processor Architecture (MDPA) Paul Rastetter Astrium GmbH Astrium ASE2 MDPA for New Generation Processor (NGP) Payload Control Processor MDPA (Multi-DSP/ µprocessor Architecture) features:

More information

ESA Contract 18533/04/NL/JD

ESA Contract 18533/04/NL/JD Date: 2006-05-15 Page: 1 EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done under ESA contract. Responsibility for the contents resides in the author or organisation that

More information

Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results

Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results Next Generation Microprocessor Functional Prototype SpaceWire Router Validation Results Jonas Ekergarn, Jan Andersson, Andreas Larsson, Daniel Hellström, Magnus Hjorth Aeroflex Gaisler AB Roland Weigand

More information

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking

SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking SpaceWire IP Cores for High Data Rate and Fault Tolerant Networking E. Petri 1,2, T. Bacchillone 1,2, N. E. L Insalata 1,2, T. Cecchini 1, I. Del Corona 1,S. Saponara 1, L. Fanucci 1 (1) Dept. of Information

More information

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT INTRODUCTION The SW IP was developped in the frame of the ESA 13345/#3 contract "Building block for System on a Chip" This presentation

More information

Rad-Hard Microcontroller For Space Applications

Rad-Hard Microcontroller For Space Applications The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS Rad-Hard Microcontroller For Space Applications Fredrik Johansson

More information

ESA IPs & SoCs developments

ESA IPs & SoCs developments ESA IPs & SoCs developments Picture courtesy of: Lightwave esearch Laboratory Columbia University NY 1 ESA IP cores portfolio Processor Leon2 FT Fault tolerant Sparc V8 architecture Data handling Interfaces

More information

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

LEON3-Fault Tolerant Design Against Radiation Effects ASIC LEON3-Fault Tolerant Design Against Radiation Effects ASIC Microelectronic Presentation Days 3 rd Edition 7 March 2007 Table of Contents Page 2 Project Overview Context Industrial Organization LEON3-FT

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik Chapter 5 On-Chip Communication Outline 1. Introduction 2. Shared media 3. Switched media 4. Network on

More information

A Boot-Strap Loader and Monitor for SPARC LEON2/3/FT

A Boot-Strap Loader and Monitor for SPARC LEON2/3/FT A Boot-Strap Loader and Monitor for SPARC LEON2/3/FT Les Miklosy PE Software to Spec The SPARC LEON family of processors offer the developer a configurable architecture for 32- bit embedded development

More information

CCSDS Unsegmented Code Transfer Protocol (CUCTP)

CCSDS Unsegmented Code Transfer Protocol (CUCTP) CCSDS Unsegmented Code Transfer Protocol (CUCTP) Marko Isomäki, Sandi Habinc Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden marko@gaisler.com www.aeroflex.com/gaisler Introduction Time synchronization

More information

SpaceFibre Port IP Core

SpaceFibre Port IP Core The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS IP Core TEC-ED & TEC-SW Final Presentation Days 6 7. December

More information

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager

SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft. SpaceTech Expo Gregor Cranston Business Development Manager SpaceWire Technologies deliver multi-gigabit data rates for on-board Spacecraft SpaceTech Expo 2013 Gregor Cranston Business Development Manager 1 Introducing SpaceFibre A very high-speed serial data-link

More information

CCSDS Time Distribution over SpaceWire

CCSDS Time Distribution over SpaceWire CCSDS Time Distribution over SpaceWire Sandi Habinc, Marko Isomäki, Daniel Hellström Aeroflex Gaisler AB Kungsgatan 12, SE-411 19 Göteborg, Sweden sandi@gaisler.com www.aeroflex.com/gaisler Introduction

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

GAISLER. SpaceWire CODEC with RMAP GRSPW / GRSPW-FT CompanionCore Data Sheet

GAISLER. SpaceWire CODEC with RMAP GRSPW / GRSPW-FT CompanionCore Data Sheet SpaceWire CODEC with RMAP GRSPW / GRSPW-FT CompanionCore Data Sheet Features Full implementation of SpaceWire standard ECSS-E-ST-50-12C Protocol ID extension ECSS-E-ST-50-11C RMAP protocol ECSS-E-ST-50-11C

More information

Payload Data Processing Technologies for JUICE

Payload Data Processing Technologies for JUICE Payload Data Processing Technologies for JUICE JUICE instrument Workshop ESOC, 9 th -11 th November 2011 R. Trautner, TEC-EDP 1 Contents Presentation Overview Expected payload data processing requirements

More information

Introduction to LEON3, GRLIB

Introduction to LEON3, GRLIB Introduction to LEON3, GRLIB Adi Katav akatav@kaltech.co.il 6201129 4(0) 972+ Ext 101 Introduction to LEON3, GRLIB Few words about KAL: KAL provides professional ASIC consultancy for Digital/Analog ASIC

More information

High Accuracy Time Synchronization over SpaceWire Networks

High Accuracy Time Synchronization over SpaceWire Networks High Accuracy Time Synchronization over SpaceWire Networks Final Report SPWCUC-REP-0004 Version 1.0 18 December 2013 i Final Report ESTEC Contract 400105931 EUROPEAN SPACE AGENCY CONTRACT REPORT The work

More information

Development an update. Aeroflex Gaisler

Development an update. Aeroflex Gaisler European SpaceWire Router Development an update Sandi Habinc Aeroflex Gaisler Demand for SpaceWire Router Both European and international customers have shown interest in SpaceWire router with greater

More information

SpaceWire Remote Terminal Controller

SpaceWire Remote Terminal Controller Remote Terminal Controller Presented by Jørgen Ilstad On board Payload Data Section, ESTEC Wahida Gasti, ESA ESTEC Co Authors Sandi Habinc, Gaisler Research Peter Sinander, SAAB Space Slide : 1 Overview

More information

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus

Intellectual Property Macrocell for. SpaceWire Interface. Compliant with AMBA-APB Bus Intellectual Property Macrocell for SpaceWire Interface Compliant with AMBA-APB Bus L. Fanucci, A. Renieri, P. Terreni Tel. +39 050 2217 668, Fax. +39 050 2217522 Email: luca.fanucci@iet.unipi.it - 1 -

More information

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP

COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 1 of 11 COMPARISON BETWEEN GR740, LEON4-N2X AND NGMP Doc..: Date: 2017-08-22 Page: 2 of 11 TABLE OF CONTENTS 1 INTRODUCTION... 3 1.1 Scope of the Document... 3 1.2 Reference

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

2 nd ESA IP-Cores Day

2 nd ESA IP-Cores Day Description of the Event 2 nd ESA IP-Cores Day 16th of September 2013 ESA / ESTEC (Noordwijk, The Netherlands) On the 16th of September 2013 the 2nd ESA IP-Cores day will take place at ESA/ESTEC, in EINSTEIN

More information

Configurable Router Design for Dynamically Reconfigurable Systems based on the SoCWire NoC

Configurable Router Design for Dynamically Reconfigurable Systems based on the SoCWire NoC International Journal of Reconfigurable and Embedded Systems (IJRES) Vol. 2, No. 1, March 2013, pp. 27~48 ISSN: 2089-4864 27 Configurable Router Design for Dynamically Reconfigurable Systems based on the

More information

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 133 CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 6.1 INTRODUCTION As the era of a billion transistors on a one chip approaches, a lot of Processing Elements (PEs) could be located

More information

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel Hyoukjun Kwon and Tushar Krishna Georgia Institute of Technology Synergy Lab (http://synergy.ece.gatech.edu) hyoukjun@gatech.edu April

More information

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION Jiri Gaisler Gaisler Research, Första Långgatan 19, 413 27 Göteborg, Sweden Abstract: Key words: An open-source IP library based on the AMBA-2.0

More information

Embedded Systems: Hardware Components (part II) Todor Stefanov

Embedded Systems: Hardware Components (part II) Todor Stefanov Embedded Systems: Hardware Components (part II) Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded

More information

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005

Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting July 2005 Video Processing Chain VPC2 SpaceWire Networking Protocol Meeting 4 19-20-21 July 2005 Page 1 Summary VPC2 and SPADA_RT Activity VPC2 Architecture Data Exchange VPC2 RMAP Implementation Issue FPGA Implementation

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Booting a LEON system over SpaceWire RMAP. Application note Doc. No GRLIB-AN-0002 Issue 2.1

Booting a LEON system over SpaceWire RMAP. Application note Doc. No GRLIB-AN-0002 Issue 2.1 Template: GQMS-TPLT-1-1-0 Booting a LEON system over SpaceWire RMAP Application note 2017-05-23 Doc. No Issue 2.1 Date: 2017-05-23 Page: 2 of 11 CHANGE RECORD Issue Date Section / Page Description 1.0

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

ESA IP Cores Service Current status, activities, and future plans. Kostas Marinis ESTEC/TEC-EDM

ESA IP Cores Service Current status, activities, and future plans. Kostas Marinis ESTEC/TEC-EDM Current status, activities, and future plans Kostas Marinis ESTEC/TEC-EDM Kostas.Marinis@esa.int Agenda Introduction List of available IP cores Overview of ESA IP Cores service Usage statistics Current

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

ATMEL SPACEWIRE PRODUCTS FAMILY

ATMEL SPACEWIRE PRODUCTS FAMILY ATMEL SPACEWIRE PRODUCTS FAMILY Session: Components Short Paper Nicolas RENAUD, Yohann BRICARD ATMEL Nantes La Chantrerie 44306 NANTES Cedex 3 E-mail: nicolas.renaud@atmel.com, yohann.bricard@atmel.com

More information

Next Generation Multi-Purpose Microprocessor

Next Generation Multi-Purpose Microprocessor Next Generation Multi-Purpose Microprocessor Presentation at MPSA, 4 th of November 2009 www.aeroflex.com/gaisler OUTLINE NGMP key requirements Development schedule Architectural Overview LEON4FT features

More information

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

Remote Memory Access in Embedded Networked Systems

Remote Memory Access in Embedded Networked Systems Remote Memory Access in Embedded Networked Systems V. Olenev, I. Korobkov, L. Koblyakova, F. Shutenko SUAI 190000, B.Morskaya 67, Saint_Petersburg, Russia valentin.olenev@guap.ru, zelwa@yandex.ru, Luda_o@rambler.ru,

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

Ultra-Fast NoC Emulation on a Single FPGA

Ultra-Fast NoC Emulation on a Single FPGA The 25 th International Conference on Field-Programmable Logic and Applications (FPL 2015) September 3, 2015 Ultra-Fast NoC Emulation on a Single FPGA Thiem Van Chu, Shimpei Sato, and Kenji Kise Tokyo

More information

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013

DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES. Flight Software Workshop /12/2013 DEVELOPING RTEMS SMP FOR LEON3/LEON4 MULTI-PROCESSOR DEVICES Flight Software Workshop 2013 12/12/2013 Daniel Hellström Presentation does not contain U.S. Export controlled information (aka ITAR) 12/08/13

More information

Interfacing the RAD1419 A/D converter with the GRLIB IP core library

Interfacing the RAD1419 A/D converter with the GRLIB IP core library Template: GQMS-TPLT-1-1-0 Interfacing the RAD1419 A/D converter with the GRLIB IP core library Application note 2015-10-30 Doc. No Issue 1.0 Date: 2015-10-30 Page: 2 of 9 CHANGE RECORD Issue Date Section

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs*

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs* SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs* Eui Bong Jung 1, Han Wook Cho 1, Neungsoo Park 2, and Yong Ho Song 1 1 College of Information and Communications, Hanyang University,

More information

Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009

Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009 Network on Chip round table European Space Agency, ESTEC Noordwijk / The Netherlands 17 th and 18 th of September 2009 Ph. Armbruster Head of Data Systems Division European Space Agency - ESTEC 17 th of

More information

Networks-on-Chip Router: Configuration and Implementation

Networks-on-Chip Router: Configuration and Implementation Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan,

More information

Advanced Computing, Memory and Networking Solutions for Space

Advanced Computing, Memory and Networking Solutions for Space Advanced Computing, Memory and Networking Solutions for Space 25 th Microelectronics Workshop November 2012 µp, Networking Solutions and Memories Microprocessor building on current LEON 3FT offerings UT699E:

More information

V8uC: Sparc V8 micro-controller derived from LEON2-FT

V8uC: Sparc V8 micro-controller derived from LEON2-FT V8uC: Sparc V8 micro-controller derived from LEON2-FT ESA Workshop on Avionics Data, Control and Software Systems Noordwijk, 4 November 2010 Walter Errico SITAEL Aerospace phone: +39 0584 388398 e-mail:

More information

The SOCks Design Platform. Johannes Grad

The SOCks Design Platform. Johannes Grad The SOCks Design Platform Johannes Grad System-on-Chip (SoC) Design Combines all elements of a computer onto a single chip Microprocessor Memory Address- and Databus Periphery Application specific logic

More information

SpaceWire Router - Status

SpaceWire Router - Status Router - Status Working Group Meeting Dr. Stephan Fischer Dr. Steve Parkes Gerald Kempf Pierre Fabry EADS Astrium GmbH University of Dundee Austrian Aerospace GmbH ESA ESA, Noordwijk 15. Sep. 004 Outline

More information

Table 1: Example Implementation Statistics for Xilinx FPGAs

Table 1: Example Implementation Statistics for Xilinx FPGAs logijpge Motion JPEG Encoder January 10 th, 2018 Data Sheet Version: v1.0 Xylon d.o.o. Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail: support@logicbricks.com

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Remote Memory Access in Embedded Networked Systems

Remote Memory Access in Embedded Networked Systems Remote Memory Access in Embedded Networked Systems Valentin Olenev, I Korobkov, Liudmila Koblyakova, Felix Shutenko SUAI Introduction A Modern Embedded Networked Systems frequently consist of a large quantity

More information

Executive Summary Next Generation Microprocessor (NGMP) Engineering Models Product code: GR740

Executive Summary Next Generation Microprocessor (NGMP) Engineering Models Product code: GR740 Executive Summary Next Generation Microprocessor (NGMP) Engineering Models Product code: GR740 Report 06-- Doc. No GR740-EXEC-005 Issue. Contract 00039/5/NL/LF Deliverable D5 GR740-EXEC-005 06-- of 4 TABLE

More information

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Buffer Loan Algorithm for BiNoC Router Design and Implementation of Buffer Loan Algorithm for BiNoC Router Deepa S Dev Student, Department of Electronics and Communication, Sree Buddha College of Engineering, University of Kerala, Kerala, India

More information

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS

ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Enhanced Dynamic Reconfigurable Processing Module for Future Space Applications ENHANCED DYNAMIC RECONFIGURABLE PROCESSING MODULE FOR FUTURE SPACE APPLICATIONS Session: SpaceWire Missions and Applications

More information

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

CoreHPDMACtrl v2.1. Handbook

CoreHPDMACtrl v2.1. Handbook CoreHPDMACtrl v2. Handbook CoreHPDMACtrl v2. Handbook Table of Contents Introduction...5 General Description... 5 Key Features... 5 Core Version... 5 Supported Families... 5 Utilization and Performance...

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

UNIVERSAL SPACEWIRE INTERFACE TO/FROM VME AND TO/FROM PCI

UNIVERSAL SPACEWIRE INTERFACE TO/FROM VME AND TO/FROM PCI UNIVERSAL SPACEWIRE INTERFACE TO/FROM VME AND TO/FROM PCI Session: Poster Session Short Paper ir. G.J. Vollmuller, ing. A. Pleijsier National Aerospace Laboratory NLR Anthony Fokkerweg 2, 1059CM, Amsterdam

More information

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University Design and Test Solutions for Networks-on-Chip Jin-Ho Ahn Hoseo University Topics Introduction NoC Basics NoC-elated esearch Topics NoC Design Procedure Case Studies of eal Applications NoC-Based SoC Testing

More information

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Young Hoon Kang, Taek-Jun Kwon, and Jeff Draper {youngkan, tjkwon, draper}@isi.edu University of Southern California

More information

A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism

A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism Seyyed Amir Asghari, Hossein Pedram and Mohammad Khademi 2 Amirkabir University of Technology 2 Shahid Beheshti

More information

DRPM architecture overview

DRPM architecture overview DRPM architecture overview Jens Hagemeyer, Dirk Jungewelter, Dario Cozzi, Sebastian Korf, Mario Porrmann Center of Excellence Cognitive action Technology, Bielefeld University, Germany Project partners:

More information

Building Blocks For System on a Chip Spacecraft Controller on a Chip

Building Blocks For System on a Chip Spacecraft Controller on a Chip PIO/TEST/WDOGN/ 19 ERRORN 2 Clock, Reset CT_PULSE CT_EVENT 4 4 4 SWB0 : Space Wire SWB1 : Space Wire SWB2 : Space Wire HKP Housekeeping Packetizer Context RA CT CCSDS Time anager SWT SWITCH ATRIX IT from

More information

Fast, Accurate and Detailed NoC Simulations

Fast, Accurate and Detailed NoC Simulations Fast, Accurate and Detailed NoC Simulations Pascal T. Wolkotte and Philip K.F. Hölzenspies and Gerard J.M. Smit University of Twente, Department of EEMCS P.O. Box 217, 75 AE Enschede, The Netherlands P.T.Wolkotte@utwente.nl

More information

Multi-DSP/Micro-Processor Architecture (MDPA)

Multi-DSP/Micro-Processor Architecture (MDPA) Multi-DSP/Micro-Processor Architecture (MDPA) Microelectronics Presentation Days 2010 30 March 2010, ESA/ESTEC, Noordwijk T. Helfers; E. Lembke; P. Rastetter; O. Ried Astrium GmbH Content Motivation MDPA

More information

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC International Journal of Engineering and Manufacturing Science. ISSN 2249-3115 Volume 8, Number 1 (2018) pp. 65-76 Research India Publications http://www.ripublication.com DESIGN AND IMPLEMENTATION ARCHITECTURE

More information

SVOM mission: ATF280F/AT697F data processing for real-time GRB detection and localization & ATF280E SpaceWire CEA IP recent developments

SVOM mission: ATF280F/AT697F data processing for real-time GRB detection and localization & ATF280E SpaceWire CEA IP recent developments SVOM mission: ATF280F/AT697F data processing for real-time GRB detection and localization & ATF280E SpaceWire CEA IP recent developments T.Chaminade, F.Château, F.Daly, M.Donati, C.Flouzat, P.Kestener,

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Technical Note on NGMP Verification. Next Generation Multipurpose Microprocessor. Contract: 22279/09/NL/JK

Technical Note on NGMP Verification. Next Generation Multipurpose Microprocessor. Contract: 22279/09/NL/JK NGP-EVAL-0013 Date: 2010-12-20 Page: 1 of 7 Technical Note on NGP Verification Next Generation ultipurpose icroprocessor Contract: 22279/09/NL/JK Aeroflex Gaisler AB EA contract: 22279/09/NL/JK Deliverable:

More information

SpaceFibre Flight Software Workshop 2015

SpaceFibre Flight Software Workshop 2015 SpaceFibre Flight Software Workshop 2015 Steve Parkes, University of Dundee Albert Ferrer Florit, Alberto Gonzalez Villafranca, STAR-Dundee Ltd. David McLaren, Chris McClements, University of Dundee Contents

More information

DESIGN, IMPLEMENTATION AND EVALUATION OF A CONFIGURABLE. NoC FOR AcENoCS FPGA ACCELERATED EMULATION PLATFORM. A Thesis SWAPNIL SUBHASH LOTLIKAR

DESIGN, IMPLEMENTATION AND EVALUATION OF A CONFIGURABLE. NoC FOR AcENoCS FPGA ACCELERATED EMULATION PLATFORM. A Thesis SWAPNIL SUBHASH LOTLIKAR DESIGN, IMPLEMENTATION AND EVALUATION OF A CONFIGURABLE NoC FOR AcENoCS FPGA ACCELERATED EMULATION PLATFORM A Thesis by SWAPNIL SUBHASH LOTLIKAR Submitted to the Office of Graduate Studies of Texas A&M

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

Parallel Computing 39 (2013) Contents lists available at SciVerse ScienceDirect. Parallel Computing

Parallel Computing 39 (2013) Contents lists available at SciVerse ScienceDirect. Parallel Computing Parallel Computing 39 (2013) 424 441 Contents lists available at SciVerse ScienceDirect Parallel Computing journal homepage: www.elsevier.com/locate/parco A hardware/software platform for QoS bridging

More information

Evaluation of NOC Using Tightly Coupled Router Architecture

Evaluation of NOC Using Tightly Coupled Router Architecture IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727, Volume 18, Issue 1, Ver. II (Jan Feb. 2016), PP 01-05 www.iosrjournals.org Evaluation of NOC Using Tightly Coupled Router

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

ISSN Vol.03, Issue.02, March-2015, Pages:

ISSN Vol.03, Issue.02, March-2015, Pages: ISSN 2322-0929 Vol.03, Issue.02, March-2015, Pages:0122-0126 www.ijvdcs.org Design and Simulation Five Port Router using Verilog HDL CH.KARTHIK 1, R.S.UMA SUSEELA 2 1 PG Scholar, Dept of VLSI, Gokaraju

More information

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G MAHESH BABU, et al, Volume 2, Issue 7, PP:, SEPTEMBER 2014. DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G.Mahesh Babu 1*, Prof. Ch.Srinivasa Kumar 2* 1. II. M.Tech (VLSI), Dept of ECE,

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Low Cost Network on Chip Router Design for Torus Topology

Low Cost Network on Chip Router Design for Torus Topology IJCSNS International Journal of Computer Science and Network Security, VOL.17 No.5, May 2017 287 Low Cost Network on Chip Router Design for Torus Topology Bouraoui Chemli and Abdelkrim Zitouni Electronics

More information

System-Level Analysis of Network Interfaces for Hierarchical MPSoCs

System-Level Analysis of Network Interfaces for Hierarchical MPSoCs System-Level Analysis of Network Interfaces for Hierarchical MPSoCs Johannes Ax *, Gregor Sievers *, Martin Flasskamp *, Wayne Kelly, Thorsten Jungeblut *, and Mario Porrmann * * Cognitronics and Sensor

More information

What is Xilinx Design Language?

What is Xilinx Design Language? Bill Jason P. Tomas University of Nevada Las Vegas Dept. of Electrical and Computer Engineering What is Xilinx Design Language? XDL is a human readable ASCII format compatible with the more widely used

More information

EECS 578 Interconnect Mini-project

EECS 578 Interconnect Mini-project EECS578 Bertacco Fall 2015 EECS 578 Interconnect Mini-project Assigned 09/17/15 (Thu) Due 10/02/15 (Fri) Introduction In this mini-project, you are asked to answer questions about issues relating to interconnect

More information

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design DANIEL BENGTSSON RICHARD FÅNG Chalmers University of Technology University

More information

INT 1011 TCP Offload Engine (Full Offload)

INT 1011 TCP Offload Engine (Full Offload) INT 1011 TCP Offload Engine (Full Offload) Product brief, features and benefits summary Provides lowest Latency and highest bandwidth. Highly customizable hardware IP block. Easily portable to ASIC flow,

More information

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS

A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS A ONE CHIP HARDENED SOLUTION FOR HIGH SPEED SPACEWIRE SYSTEM IMPLEMENTATIONS Joseph R. Marshall, Richard W. Berger, Glenn P. Rakow Conference Contents Standards & Topology ASIC Program History ASIC Features

More information