HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h)

Size: px
Start display at page:

Download "HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h)"

Transcription

1 HAI ZHOU Electrical and Computer Engineering Northwestern University 2535 Happy Hollow Rd. Evanston, IL Glenview, IL (847) (o) (847) (h) EDUCATION Ph.D. University of Texas at Austin May Major: Computer Sciences Thesis: Signal Integrity and Low Power Issues in Deep Sub-Micron VLSI Design Advisor: Professor Martin D. F. Wong M.S. Tsinghua University, Beijing, China June Major: Computer Science and Technology Thesis: OOUID An Object-Oriented User Interface Design Tool Advisor: Professor Xingliang Lin B.S. Tsinghua University, Beijing, China June Major: Computer Science and Technology Minor: Management GPA: 91/100 ranked second in graduating class (161 students) HONORS AND AWARDS CAREER Award National Science Foundation, Special Recognition Award Synopsys, Inc., 2000 Motorola Scholarship (top 5%) Tsinghua University, 1993 Guanghua Scholarship (top 5%) Tsinghua University, 1992 Third rank Mathematics Competition, Tsinghua University, 1990 Top 100 National Physics Olympiad, China, 1986 WORKING EXPERIENCE Sep 2001 present: Assistant Professor, Electrical and Computer Engineering, Northwestern University.

2 Jun 1999 Aug 2001: Senior R&D Engineer, Advanced Technology Group, Synopsys Inc. Aug 1994 May 1999: Research/Teaching Assistant, Department of Computer Sciences, Department of Electrical and Computer Engineering, The University of Texas at Austin. Jun 1997 Aug 1997: Summer Intern, Placement and Route Group, Avant! Corporation, Fremont, CA. PROFESSIONAL SERVICES Technical Program Committee of ICCAD: IEEE International Conference on Computer Aided Design Technical Program Committee of ICCD: IEEE International Conference on Computer Design Technical Program Committee of GLS-VLSI Technical Program Committee of Tau 2004: ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems. Technical Program Committee of ICCAD: IEEE International Conference on Computer Aided Design NSF Panelist for Design Automation Program Publications Chair of ACM Great Lake Symposium on VLSI Session Chair of ISCAS: IEEE International Symposium on Circuits and Systems Session chair of ISPD: ACM International Symposium on Physical Design 2001, Technical Program Committees of ISPD: ACM International Symposium on Physical Design 2001, 2002 STUDENTS PhD Students: Chuan Lin, Debjit Sinha, Ruiming Chen, Jia Wang PUBLICATIONS Book chapter 1. H.-M. Chen, D. F. Wong, H. Zhou, F. Y. Young, H. H. Yang, and N. Sherwani. Integrated Floorplanning and Interconnect Planning. Layout Optimizations in VLSI Designs, D.-Z. Du, S. Sapatnekar, and B. Lu eds., Kluwer Academic Publishers. To appear.

3 Journal articles 2. H. Zhou and D. F. Wong. Optimal River Routing with Crosstalk Constraints. ACM Transactions on Design Automation of Electronic Systems, July H. Zhou and D. F. Wong. Global Routing with Crosstalk Constraints. IEEE Transactions on Computer-Aided Design, 18(11), November, H. Zhou, D. F. Wong, I-M. Liu, and A. Aziz. Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations. IEEE Transactions on Computer-Aided Design, 19(7), July, H. Zhou and A. Aziz. Buffer Minimization in Pass Transistor Logic. IEEE Transactions on Computer-Aided Design, 20(5), May, H. Zhou, N. Shenoy, and W. Nicholls. Efficient Minimum Spanning Tree Construction without Delaunay Triangulation. Information Processing Letters, Vol. 81, No. 5, A. Goel, K. Sajid, H. Zhou, A. Aziz, and V. Singhal. BDD-based Procedures for a Theory of Equality with Uninterpreted Functions. Journal of Formal Methods in System Design, 22(3), pp , May H. Zhou. Timing Analysis with Crosstalk is a Fixpoint on a Complete lattice. IEEE Transactions on Computer-Aided Design, 22(9), pp , Sept H. Zhou. Efficient Steiner Tree Construction Based on Spanning Graphs. IEEE Transactions on Computer-Aided Design, 23(5), pp , May H. Zhou and C. Lin, Retiming for Wire Pipelining in System-On-Chip. IEEE Transactions on Computer-Aided Design, Sept Conference papers 11. H. Zhou and D. F. Wong. An Optimal Algorithm for River Routing with Crosstalk Constraints. In International Conference on Computer Aided Design, San Jose, CA, November C.-P. Chen, H. Zhou, and D. F. Wong. Optimal Non-uniform Wire-sizing under the Elmore Delay Model. In International Conference on Computer Aided Design, San Jose, CA, November H. Zhou and D. F. Wong. An Exact Gate Decomposition Algorithm for Low-power Technology Mapping. In International Conference on Computer Aided Design, San Jose, CA, November H. Zhou and D. F. Wong. Crosstalk Constrained Maze Routing Based on Lagrangian Relaxation. In IEEE International Conference on Computer Design, Austin, TX, October 1997.

4 15. H. Zhou and D. F. Wong. Global Routing with Crosstalk Constraints. In ACM Design Automation Conference, San Francisco, CA, June A. Goel, K. Sajid, H. Zhou, A. Aziz, and V. Singhal. BDD Based Procedures for a Theory of Equality with Uninterpreted Functions. In International Conference on Computer Aided Verification, Vancouver, British Columbia, June H. Zhou, V. Singhal, and A. Aziz. How Powerful is Retiming? In International Workshop on Logic Synthesis, Lake Tahoe, CA, May I.-M. Liu, T.-H. Liu, H. Zhou, and A. Aziz. Simultaneous PTL Buffer Insertion and Sizing for Minimizing Elmore Delay. In International Workshop on Logic Synthesis, Lake Tahoe, CA, May H. Zhou, D. F. Wong, I-M. Liu, and A. Aziz. Simultaneous Routing and Buffer Insertion with Restrictions on Buffer Locations. In ACM Design Automation Conference, New Orleans, LA, June I-M. Liu, A. Aziz, D. F. Wong, and H. Zhou. An Efficient Buffer Insertion Algorithm for Large Networks Based on Lagrangian Relaxation. In IEEE International Conference on Computer Design, Austin, TX, October H.-M. Chen, H. Zhou, F. Y. Young, D. F. Wong, H. H. Yang, and N. Sherwani. Integrated Floorplanning and Interconnect Planning. In IEEE International Conference on Computer Aided Design, San Jose, CA, November H. Zhou and A. Aziz. Buffer Minimization in Pass Transistor Logic. In International Symposium on Physical Design, San Diego, CA, April H. Zhou and D. F. Wong. Optimal Low Power XOR Gate Decomposition. In ACM Design Automation Conference, Los Angeles, CA, June H. Zhou, N. Shenoy, and W. Nicholls. Efficient Minimum Spanning Tree Construction without Delaunay Triangulation. In Asian and South Pacific Design Automation Conference, Yokohama, Japan, January H. Zhou, N. Shenoy, and W. Nicholls. Timing Analysis with Crosstalk as Fixpoints on Complete Lattice. In ACM Design Automation Conference, Las Vegas, NV, June S. H. Batterywala, N. Shenoy, W. Nicholls, and H. Zhou. Track Assignment: A Desirable Intermediate Step Between Global Routing and Detailed Routing. In IEEE International Conference on Computer Aided Design, San Jose, CA, H. Zhou. Clock Schedule Verification with Crosstalk. In Tau 02: ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Monterey, CA, C. W. Sham, F. Y. Young, and H. Zhou. Interconnect-Driven Floorplanning by Searching Alternative Packings. In Asia and South Pacific Design Automation Conference, Kitakyushu, Japan, 2003.

5 29. H. Zhou. Timing Verification with Crosstalk for Transparently Latched Circuits. In DATE 03: Design Automation & Test in Europe, Munich, Germany, H. Zhou. Efficient Steiner Tree Construction Based on Spanning Graphs. In ACM International Symposium on Physical Design, Monterey, CA, C. Lin and H. Zhou. Retiming for Wire Pipelining in System-On-Chip. In IEEE International Conference on Computer Aided Design, San Jose, CA, (Nominated for best paper award) 32. Q. Zhu, H. Zhou, T. Jing, X. Hong, Y. Yang, Efficient Octilinear Steiner Tree Construction Based on Spanning Graphs. In Asia and South Pacific Design Automation Conference, Yokohama, Japan, C. Lin and H. Zhou, Wire Retiming for System-On-Chip by Fixpoint Computation. In DATE 04: Design Automation & Test in Europe, Paris, France, D. Sinha, H. Zhou, C.C.N. Chu, Optimal Gate Sizing for Coupling-Noise Reduction. In ACM International Symposium on Physical Design, Phoenix, AZ, J. Wang and H. Zhou, Minimal Period Retiming under Process Variations. In ACM Great Lakes Symposium on VLSI, Boston, MA, H. Zhou, A New Efficient Retiming Algorithm Derived by Formal Manipulation. In International Workshop on Logic Synthesis, Temecula, CA, 2004.

Wireless Communications, Information Theory, Physical Layer Security, Cyber Security for Smart Grid, Cryptography, Network Coding.

Wireless Communications, Information Theory, Physical Layer Security, Cyber Security for Smart Grid, Cryptography, Network Coding. Mustafa El-Halabi Contact Information Fleifel Building Cell Phone: + (979) 422 4585 Mathaf E-mail: mhalabi@aust.edu.lb Beirut, Lebanon Webpage: https://mustafa-halabi.appspot.com/ Research Interests Education

More information

Jun Li, Ph.D. School of Computing and Information Sciences Phone:

Jun Li, Ph.D. School of Computing and Information Sciences Phone: Jun Li, Ph.D. School of Computing and Information Sciences Phone: + 1-305-348-4964 Florida International University Email: junli @ cs. fiu. edu 11200 SW 8th St, ECS 380, Miami, FL 33199 Web: http://users.cs.fiu.edu/

More information

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building,

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building, ,, Computer Studies Building, BOX 270231, Rochester, New York 14627 585.360.6181 (phone) kose@ece.rochester.edu http://www.ece.rochester.edu/ kose Research Interests and Vision Research interests: Design

More information

SANS Vendor Events. SANS offers a variety of events which bring you in touch with the highly qualified SANS community.

SANS Vendor Events. SANS offers a variety of events which bring you in touch with the highly qualified SANS community. SANS Vendor Events SANS offers a variety of events which bring you in touch with the highly qualified SANS community. SANS National Events over 1200 profession IT Security attendees and over 45 SANS classes

More information

Software verification and testing, software evolution, program analysis, and formal methods.

Software verification and testing, software evolution, program analysis, and formal methods. GUOWEI YANG Assistant Professor Department of Computer Science Texas State University 601 University Drive, San Marcos, TX 78666 +1 (512) 245-2469 gyang@txstate.edu RESEARCH INTERESTS Software verification

More information

CURRICULUM VITAE. Hao MA

CURRICULUM VITAE. Hao MA CURRICULUM VITAE Hao MA Mailing Address: Room 101, HSH Engineering Buildings, The Chinese University of Hong Kong, Shatin, N.T., Hong Kong. Contact Information: Mobile: (+852) 60898067 Email: hma@cse.cuhk.edu.hk

More information

Lingxi Xie. Post-doctoral Researcher (supervised by Prof. Alan Yuille) Center for Imaging Science, the Johns Hopkins University

Lingxi Xie. Post-doctoral Researcher (supervised by Prof. Alan Yuille) Center for Imaging Science, the Johns Hopkins University Lingxi Xie Room 246, Malone Hall, the Johns Hopkins University, Baltimore, MD 21218, USA EMail: 198808xc@gmail.com Homepage: http://bigml.cs.tsinghua.edu.cn/~lingxi/ Title Education Background Post-doctoral

More information

SPYROS TRAGOUDAS. Professor and Department Chair Site Director, NSF I/UCRC for Embedded Systems

SPYROS TRAGOUDAS. Professor and Department Chair Site Director, NSF I/UCRC for Embedded Systems SPYROS TRAGOUDAS Professor and Department Chair Site Director, NSF I/UCRC for Embedded Systems Electrical & Computer Engineering Department Southern Illinois University Carbondale, IL 62901 cell: (618)

More information

Jinwei Gu. Ph.D. in Computer Science Dissertation: Measurement, Modeling, and Synthesis of Time-Varying Appearance of Natural

Jinwei Gu. Ph.D. in Computer Science Dissertation: Measurement, Modeling, and Synthesis of Time-Varying Appearance of Natural Jinwei Gu CONTACT Department of Computer Science Mobile: (917) 292-9361 450 Computer Science Bldg. Phone: (212)-939-7091 (office) Columbia University Fax: (212) 666-0140 500 West 120 Street New York, NY

More information

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS Waqas Akram, Cirrus Logic Inc., Austin, Texas Abstract: This project is concerned with finding ways to synthesize hardware-efficient digital filters given

More information

Dr. Imran Khan University of Nebraska-Lincoln Marketing (402)

Dr. Imran Khan University of Nebraska-Lincoln Marketing (402) Dr. Imran Khan University of Nebraska-Lincoln Marketing (402) 472-4536 Email: ikhan3@unl.edu Education DBA, Kennesaw State University, 2014. Major: Marketing Dissertation Title: Vendor Firm Characteristics

More information

CIRCUIT clustering (or partitioning) is often employed between

CIRCUIT clustering (or partitioning) is often employed between 1264 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 14, NO 11, NOVEMBER 2006 Clustering for Processing Rate Optimization Chuan Lin, Jia Wang, and Hai Zhou, Senior Member, IEEE Abstract

More information

RESUME WEI LI EDUCATION EMPLOYMENT RESEARCH INTERESTS HONORS AND AWARDS

RESUME WEI LI EDUCATION EMPLOYMENT RESEARCH INTERESTS HONORS AND AWARDS RESUME WEI LI Graduate School of Computer and Information Sciences Nova Southeastern University 3301 College Avenue, Fort Lauderdale-Davie, FL 33314 Office: (954) 262-2068 Fax: (954) 262-3915 Email: lwei@nova.edu

More information

North Dakota State University Fargo, ND Ph.D. in Software Engineering Emphasis area: Security Requirements Engineering

North Dakota State University Fargo, ND Ph.D. in Software Engineering Emphasis area: Security Requirements Engineering Josh Pauli, Ph.D. EDUCATION North Dakota State University Fargo, ND Ph.D. in Software Engineering 2003-2006 Emphasis area: Security Requirements Engineering Dakota State University Madison, SD M.S. in

More information

Dr. Spencer Sevilla Postdoctoral Researcher, University of Washington

Dr. Spencer Sevilla Postdoctoral Researcher, University of Washington Dr. Spencer Sevilla Postdoctoral Researcher, University of Washington email: sevilla@cs.washington.edu Research Interests Computer networks, Host mobility, Web technology, Content caching Education University

More information

Lu Ruan. Assistant Professor Department of Computer Science, Iowa State University, Ames, IA.

Lu Ruan. Assistant Professor Department of Computer Science, Iowa State University, Ames, IA. Lu Ruan Associate Professor of Computer Science Iowa State University Ames, IA 50011 Phone: 515-294-2259 Email: ruan@cs.iastate.edu Web: http://www.cs.iastate.edu/~ruan RESEARCH INTERESTS WDM optical networks.

More information

Associate Professor Electrical and Computer Engineering Old Main Hill, Logan, Utah

Associate Professor Electrical and Computer Engineering Old Main Hill, Logan, Utah Koushik Chakraborty Contact Information Associate Professor Electrical and Computer Engineering +1 435 797 9157 Utah State University koushik.chakraborty@usu.edu 4120 Old Main Hill, Logan, Utah http://www.engr.usu.edu/ece/faculty/kchak/

More information

A buffer planning algorithm for chip-level floorplanning

A buffer planning algorithm for chip-level floorplanning Science in China Ser. F Information Sciences 2004 Vol.47 No.6 763 776 763 A buffer planning algorithm for chip-level floorplanning CHEN Song 1, HONG Xianlong 1, DONG Sheqin 1, MA Yuchun 1, CAI Yici 1,

More information

Vahideh Manshadi. Yale School of Management Voice: (650) Whitney Ave, Rm New Haven, CT 06511

Vahideh Manshadi. Yale School of Management Voice: (650) Whitney Ave, Rm New Haven, CT 06511 Vahideh Manshadi Contact Information Yale School of Management Voice: (650) 714-9120 165 Whitney Ave, Rm 3540 Email: vahideh.manshadi@yale.edu New Haven, CT 06511 Employment Yale School of Management,

More information

Weikang Qian. Nomination for Best Student Paper Award,

Weikang Qian. Nomination for Best Student Paper Award, Weikang Qian Room 421, University of Michigan-Shanghai Jiao Tong University Joint Institute Shanghai Jiao Tong University 800 Dong Chuan Road, Shanghai, China 200240 Phone: +86-021-34204020 Email: qianwk@sjtu.edu.cn

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

Timing Analysis With Crosstalk is a Fixpoint on a Complete Lattice

Timing Analysis With Crosstalk is a Fixpoint on a Complete Lattice IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 9, SEPTEMBER 2003 1261 Timing Analysis With Crosstalk is a Fixpoint on a Complete Lattice Hai Zhou Abstract Increasing

More information

Hideki Todo. Computer Graphics, mainly of Non-Photorealistic Rendering (NPR) Stylized Rendering, Character Animation, User Interaction, Image Analysis

Hideki Todo. Computer Graphics, mainly of Non-Photorealistic Rendering (NPR) Stylized Rendering, Character Animation, User Interaction, Image Analysis Hideki Todo Chuo Gakuin University, Japan Address: 451 Kujike, Abiko, Chiba, 270-1196, Japan Room 708, Research bldg., Chuo Gakuin University Phone: +81-4-7182-1441 ext.571 Email: todo@fla.cgu.ac.jp Web:

More information

CURRICULUM VITAE - YANG HU

CURRICULUM VITAE - YANG HU CURRICULUM VITAE - YANG HU CONTACT INFORMATION Ph.D. candidate Phone: (352) 281-3364 huyang.ece@ufl.edu URL: http://plaza.ufl.edu/huyang.ece #327 Benton Hall University of Florida Gainesville, FL 32611

More information

Non Uniform On Chip Power Delivery Network Synthesis Methodology

Non Uniform On Chip Power Delivery Network Synthesis Methodology Non Uniform On Chip Power Delivery Network Synthesis Methodology Patrick Benediktsson Institution of Telecomunications, University of Lisbon, Portugal Jon A. Flandrin Institution of Telecomunications,

More information

Animation of VLSI CAD Algorithms A Case Study

Animation of VLSI CAD Algorithms A Case Study Session 2220 Animation of VLSI CAD Algorithms A Case Study John A. Nestor Department of Electrical and Computer Engineering Lafayette College Abstract The design of modern VLSI chips requires the extensive

More information

Probability-Based Approach to Rectilinear Steiner Tree Problems

Probability-Based Approach to Rectilinear Steiner Tree Problems 836 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 6, DECEMBER 2002 Probability-Based Approach to Rectilinear Steiner Tree Problems Chunhong Chen, Member, IEEE, Jiang Zhao,

More information

the main limitations of the work is that wiring increases with 1. INTRODUCTION

the main limitations of the work is that wiring increases with 1. INTRODUCTION Design of Low Power Speculative Han-Carlson Adder S.Sangeetha II ME - VLSI Design, Akshaya College of Engineering and Technology, Coimbatore sangeethasoctober@gmail.com S.Kamatchi Assistant Professor,

More information

Stanford University Packing and Padding, Coupled Multi-index for Accurate Image Retrieval.

Stanford University Packing and Padding, Coupled Multi-index for Accurate Image Retrieval. Liang Zheng Nationality: China Information Systems Technology and Design pillar Singapore University of Technology and Design 8 Somapah Road Singapore 487372 Date of Birth: 11 Jun 1987 Phone: +65 84036274

More information

JOYCE JIYOUNG WHANG EDUCATION

JOYCE JIYOUNG WHANG EDUCATION JOYCE JIYOUNG WHANG Assistant Professor Department of Computer Science and Engineering Sungkyunkwan University (SKKU) Office: Engineering Building 2, #27326 Lab: Engineering Building 2, #26315B (Big Data

More information

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Suchandra Banerjee Anand Ratna Suchismita Roy mailnmeetsuchandra@gmail.com pacific.anand17@hotmail.com suchismita27@yahoo.com

More information

A Complete Bibliography of the Proceedings Volumes of the ACM Symposia on the Theory of Computing ( )

A Complete Bibliography of the Proceedings Volumes of the ACM Symposia on the Theory of Computing ( ) A Complete Bibliography of the Proceedings Volumes of the ACM Symposia on the Theory of Computing (1970 1997) Nelson H. F. Beebe University of Utah Department of Mathematics, 110 LCB 155 S 1400 E RM 233

More information

On Designs of Radix Converters Using Arithmetic Decompositions

On Designs of Radix Converters Using Arithmetic Decompositions On Designs of Radix Converters Using Arithmetic Decompositions Yukihiro Iguchi 1 Tsutomu Sasao Munehiro Matsuura 1 Dept. of Computer Science, Meiji University, Kawasaki 1-51, Japan Dept. of Computer Science

More information

YOUNGMIN YI. B.S. in Computer Engineering, 2000 Seoul National University (SNU), Seoul, Korea

YOUNGMIN YI. B.S. in Computer Engineering, 2000 Seoul National University (SNU), Seoul, Korea YOUNGMIN YI Parallel Computing Lab Phone: +1 (925) 348-1095 573 Soda Hall Email: ymyi@eecs.berkeley.edu Electrical Engineering and Computer Science Web: http://eecs.berkeley.edu/~ymyi University of California,

More information

Tree Structure and Algorithms for Physical Design

Tree Structure and Algorithms for Physical Design Tree Structure and Algorithms for Physical Design Chung Kuan Cheng, Ronald Graham, Ilgweon Kang, Dongwon Park and Xinyuan Wang CSE and ECE Departments UC San Diego Outline: Introduction Ancestor Trees

More information

Floorplan considering interconnection between different clock domains

Floorplan considering interconnection between different clock domains Proceedings of the 11th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 23-25, 2007 115 Floorplan considering interconnection between different clock domains Linkai

More information

Aug till date, Assistant Professor (tenure-track) Department of Electrical Engineering, University of Texas at Dallas

Aug till date, Assistant Professor (tenure-track) Department of Electrical Engineering, University of Texas at Dallas PROFESSIONAL APPOINTMENT: Rama Sangireddy Department of Electrical Engineering University of Texas at Dallas, Richardson, TX 75080 Phone: (972) 883 6143; E-mail: rama.sangireddy@utdallas.edu Aug. 2003

More information

Brian F. Cooper. Distributed systems, digital libraries, and database systems

Brian F. Cooper. Distributed systems, digital libraries, and database systems Brian F. Cooper Home Office Internet 2240 Homestead Ct. #206 Stanford University cooperb@stanford.edu Los Altos, CA 94024 Gates 424 http://www.stanford.edu/~cooperb/app/ (408) 730-5543 Stanford, CA 94305

More information

Gate Sizing by Lagrangian Relaxation Revisited

Gate Sizing by Lagrangian Relaxation Revisited Gate Sizing by Lagrangian Relaxation Revisited Jia Wang, Debasish Das, and Hai Zhou Electrical Engineering and Computer Science Northwestern University Evanston, Illinois, United States October 17, 2007

More information

3715 McClintock Ave, GER 240, Los Angeles, CA 90089

3715 McClintock Ave, GER 240, Los Angeles, CA 90089 Meisam Razaviyayn Contact Information razaviya@usc.edu 3715 McClintock Ave, GER 240, Los Angeles, CA 90089 Homepage Google scholar profile Research Interests Appointments Design and study of efficient

More information

Jung-Lin Yang. Ph.D. and M.S. degree in the Dept. of Electrical and Computer Engineering University of Utah expected spring 2003

Jung-Lin Yang. Ph.D. and M.S. degree in the Dept. of Electrical and Computer Engineering University of Utah expected spring 2003 Jung-Lin Yang Business Address: 50 South Campus Drive, RM 3280 Salt Lake City, UT 84112 (801) 581-8378 Home Address: 1115 Medical Plaza Salt Lake City, UT 84112 (801) 583-0596 (801) 949-8263 http://www.cs.utah.edu/~jyang

More information

PUBLICATIONS. Journal Papers

PUBLICATIONS. Journal Papers PUBLICATIONS Journal Papers [J1] X. Wu and L.-L. Xie, Asymptotic equipartition property of output when rate is above capacity, submitted to IEEE Transactions on Information Theory, August 2009. [J2] A.

More information

Stavros Nikolaou. 413 Gates Hall URL: Ithaca, NY, 14853, USA Date of Birth: December, 1987

Stavros Nikolaou. 413 Gates Hall URL:  Ithaca, NY, 14853, USA Date of Birth: December, 1987 413 Gates Hall +1 6073795409 Department of Computer Science Cornell University email: snikolaou@cs.cornell.edu URL: www.cs.cornell.edu/~snikolaou Ithaca, NY, 14853, USA Date of Birth: December, 1987 Education

More information

Amir Aminzadeh Gohari

Amir Aminzadeh Gohari Amir Aminzadeh Gohari Contact Information Computer Eng. Phone: (707) 953-2647 E-mail: amirazg@ece.ucsb.edu Santa Barbara, CA 93106 USA Web: http://ece.ucsb.edu/ amirazg Education Sept. 2007 June 2011 Ph.D.,

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Professor Jason Cong UCLA Computer Science Department Los Angeles, CA 90095 http://cadlab.cs.ucla.edu/~ /~cong

More information

Arbee L.P. Chen ( 陳良弼 )

Arbee L.P. Chen ( 陳良弼 ) Arbee L.P. Chen ( 陳良弼 ) Asia University Taichung, Taiwan EDUCATION Phone: (04)23323456x1011 Email: arbee@asia.edu.tw - Ph.D. in Computer Engineering, Department of Electrical Engineering, University of

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN 58 Assertion Based Verification of AMBA-AHB Using Synopsys VCS Akshay Mann, Ashwani Kumar Abstract-The successof assertion based functional verification depends on the debugging environment associated

More information

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Zhigang Pan Department of Computer Science University of California, Los Angeles, CA 90095 Email: fcong,pang@cs.ucla.edu

More information

Shin Hong. Assistant Professor Handong Global University (HGU) Pohang, Kyongbuk, South Korea (37554)

Shin Hong. Assistant Professor Handong Global University (HGU) Pohang, Kyongbuk, South Korea (37554) Shin Hong Assistant Professor hongshin@handong.edu +82-54-260-1409 School of Computer Science & Electrical Engineering 113 NMH, 558 Handong-ro, Buk-gu, Handong Global University (HGU) Pohang, Kyongbuk,

More information

A Complete Data Scheduler for Multi-Context Reconfigurable Architectures

A Complete Data Scheduler for Multi-Context Reconfigurable Architectures A Complete Data Scheduler for Multi-Context Reconfigurable Architectures M. Sanchez-Elez, M. Fernandez, R. Maestre, R. Hermida, N. Bagherzadeh, F. J. Kurdahi Departamento de Arquitectura de Computadores

More information

AKIKO MANADA. The University of Electro-Communications 1-5-1, Chofugaoka, Chofu, Tokyo, , JAPAN

AKIKO MANADA. The University of Electro-Communications 1-5-1, Chofugaoka, Chofu, Tokyo, , JAPAN Curriculum Vitæ AKIKO MANADA The University of Electro-Communications 1-5-1, Chofugaoka, Chofu, Tokyo, 182-8585, JAPAN Email: amanada@uec.ac.jp WORK EXPERIENCE Assistant Professor: February 2012 Present

More information

JOYCE JIYOUNG WHANG. June 2008 May 2010: Undergraduate Research Assistant, Department of Computer Science and Engineering, Ewha Womans University.

JOYCE JIYOUNG WHANG. June 2008 May 2010: Undergraduate Research Assistant, Department of Computer Science and Engineering, Ewha Womans University. JOYCE JIYOUNG WHANG Assistant Professor Department of Computer Science and Engineering Sungkyunkwan University (SKKU) Office: Engineering Building 2, #27326 Lab: Engineering Building 2, #26315B (Big Data

More information

Dukpa Kim FIELDS OF INTEREST. Econometrics, Time Series Econometrics ACADEMIC POSITIONS

Dukpa Kim FIELDS OF INTEREST. Econometrics, Time Series Econometrics ACADEMIC POSITIONS Dukpa Kim Contact Information Department of Economics Phone: 82-2-3290-5131 Korea University Fax: 82-2-3290-2661 145 Anam-ro, Seongbuk-gu Email: dukpakim@korea.ac.kr Seoul, 02841 Korea FIELDS OF INTEREST

More information

Abstract A SCALABLE, PARALLEL, AND RECONFIGURABLE DATAPATH ARCHITECTURE

Abstract A SCALABLE, PARALLEL, AND RECONFIGURABLE DATAPATH ARCHITECTURE A SCALABLE, PARALLEL, AND RECONFIGURABLE DATAPATH ARCHITECTURE Reiner W. Hartenstein, Rainer Kress, Helmut Reinig University of Kaiserslautern Erwin-Schrödinger-Straße, D-67663 Kaiserslautern, Germany

More information

PhD coursework at Fox College of Business, Temple University, Philadelphia, PA Concentration: Information Systems (GPA: 3.9/4.

PhD coursework at Fox College of Business, Temple University, Philadelphia, PA Concentration: Information Systems (GPA: 3.9/4. Rui Z. Sundrup Doctoral Candidate Department of Operations, Business Analytics and Information Systems Carl H. Lindner College of Business University of Cincinnati, Cincinnati, OH 45221 2925 Campus Green

More information

MAGNO QUEIROZ Curriculum Vitae

MAGNO QUEIROZ Curriculum Vitae MAGNO QUEIROZ Curriculum Vitae Office Management Information Systems Jon M. Huntsman School of Business Utah State University 3515 Old Main Hill Logan, UT 84322-3515 Location: Eccles Business Building

More information

Processing Rate Optimization by Sequential System Floorplanning

Processing Rate Optimization by Sequential System Floorplanning Processing Rate Optimization by Sequential System Floorplanning Jia Wang Ping-Chih Wu Hai Zhou EECS Department Northwestern University Evanston, IL 60208, U.S.A. {jwa112, haizhou}@ece.northwestern.edu

More information

CURRICULUM VITAE. June, 2013

CURRICULUM VITAE. June, 2013 CURRICULUM VITAE ד"ר אבי סופר Dr. Avi Soffer June, 2013 ORT Braude College, Department of Software Engineering, P.O. Box 78, Karmiel 2161002, Israel Telephone: +972-4-990-1720 Email: asoffer@braude.ac.il

More information

Fan Zhai. Education Northwestern University, Evanston, IL 09/ /2004 Dept. Electrical & Computer Engineering

Fan Zhai. Education Northwestern University, Evanston, IL 09/ /2004 Dept. Electrical & Computer Engineering 333 Melrose Dr. #20B Richardson, TX 75080 Tel: (972) 755-1961(H) Email: fan.zhai@gmail.com URL: http://www.ece.northwestern.edu/ fzhai Fan Zhai Video Technology Group DSP Systems, ASP Texas Instruments

More information

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij COUPLING AWARE ROUTING Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer Engineering Northwestern University kastner,elib,majid@ece.northwestern.edu ABSTRACT

More information

Ph.D. with Distinction in Computer Science Advisor: Prof. Micha Sharir Dissertation: Geometric Arrangements: Substructures and Algorithms

Ph.D. with Distinction in Computer Science Advisor: Prof. Micha Sharir Dissertation: Geometric Arrangements: Substructures and Algorithms April 29, 2009 Esther Ezra phone (919) 660-6578 Levine Science Research Center D340 fax (919) 660-6502 Department of Computer Science, Duke University http://www.math.tau.ac.il/~estere Durham NC 27708

More information

LOGIC synthesis is the process of transforming a set of

LOGIC synthesis is the process of transforming a set of 42 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 1, JANUARY 2004 Timing Driven Gate Duplication Ankur Srivastava, Member, IEEE, Ryan Kastner, Chunhong Chen, and Majid Sarrafzadeh,

More information

NetFPGA Update at GEC4

NetFPGA Update at GEC4 NetFPGA Update at GEC4 http://netfpga.org/ NSF GENI Engineering Conference 4 (GEC4) March 31, 2009 John W. Lockwood http://stanford.edu/~jwlockwd/ jwlockwd@stanford.edu NSF GEC4 1 March 2009 What is the

More information

Jinwei Ye. Research Interests. Education. Research Experience. Phone: (302) Homepage:

Jinwei Ye. Research Interests. Education. Research Experience. Phone: (302) Homepage: Jinwei Ye Ph.D. University of Delaware Newark, DE 19716 Phone: (302) 562-9234 Email: jinweiye@udel.edu Homepage: http://www.eecis.udel.edu/ jye Research Interests Computer Vision Computational Photography

More information

Yi Qiao yqiao

Yi Qiao   yqiao Yi Qiao yqiao@cs.northwestern.edu http://www.cs.northwestern.edu/ yqiao, Suite 300 (847)491-7060 (Office) (847)722-5862 (Cell) (847)491-5258 (Fax) Research Interests I am broadly interested in distributed

More information

Call for Participation

Call for Participation ACM International Symposium on Physical Design 2015 Blockage-Aware Detailed-Routing-Driven Placement Contest Call for Participation Start date: November 10, 2014 Registration deadline: December 30, 2014

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

The ECE Curriculum. Prof. Bruce H. Krogh Associate Dept. Head.

The ECE Curriculum. Prof. Bruce H. Krogh Associate Dept. Head. The ECE Curriculum Prof. Bruce H. Krogh Associate Dept. Head krogh@ece.cmu.edu 1 Freshman year ECE Core Courses 18-100 Introduction to Electrical and Computer Engineering physical devices analog circuits

More information

Interconnect Delay and Area Estimation for Multiple-Pin Nets

Interconnect Delay and Area Estimation for Multiple-Pin Nets Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Z. Pan UCLA Computer Science Department Los Angeles, CA 90095 Sponsored by SRC and Avant!! under CA-MICRO Presentation

More information

Columbia University (office) Computer Science Department (mobile) Amsterdam Avenue

Columbia University (office) Computer Science Department (mobile) Amsterdam Avenue Wisam Dakka Columbia University (office) 212-939-7116 Computer Science Department (mobile) 646-643-1306 1214 Amsterdam Avenue wisam@cs.columbia.edu New York, New York, 10027 www.cs.columbia.edu/~wisam

More information

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees Latha N.R. Computer Science and Engineering, Visveswaraiah Technological University B.M.S. College of Engineering, Bangalore,

More information

Curriculum Vitæ. (Home address) 8399 Transvaal Blue Street Phone: (702) Las Vegas, NV Cell: (702)

Curriculum Vitæ. (Home address) 8399 Transvaal Blue Street Phone: (702) Las Vegas, NV Cell: (702) Curriculum Vitæ Jan Bækgaard Pedersen School of Computer Science Phone: (702) 895 2557 University of Nevada, Las Vegas Fax: (702) 895 2639 4505 South Maryland Parkway Email: matt@cs.unlv.edu Las Vegas,

More information

1. Discovering Important Nodes through Graph Entropy The Case of Enron Database

1. Discovering Important Nodes through Graph Entropy The Case of Enron  Database 1. Discovering Important Nodes through Graph Entropy The Case of Enron Email Database ACM KDD 2005 Chicago, Illinois. 2. Optimizing Video Search Reranking Via Minimum Incremental Information Loss ACM MIR

More information

LOW POWER FPGA IMPLEMENTATION OF REAL-TIME QRS DETECTION ALGORITHM

LOW POWER FPGA IMPLEMENTATION OF REAL-TIME QRS DETECTION ALGORITHM LOW POWER FPGA IMPLEMENTATION OF REAL-TIME QRS DETECTION ALGORITHM VIJAYA.V, VAISHALI BARADWAJ, JYOTHIRANI GUGGILLA Electronics and Communications Engineering Department, Vaagdevi Engineering College,

More information

Ph.D. in Computer Science & Technology, Tsinghua University, Beijing, China, 2007

Ph.D. in Computer Science & Technology, Tsinghua University, Beijing, China, 2007 Yiqun Liu Associate Professor & Department co-chair Department of Computer Science and Technology Email yiqunliu@tsinghua.edu.cn URL http://www.thuir.org/group/~yqliu Phone +86-10-62796672 Fax +86-10-62796672

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Timing-Driven Maze Routing

Timing-Driven Maze Routing 234 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 19, NO. 2, FEBRUARY 2000 Timing-Driven Maze Routing Sung-Woo Hur, Ashok Jagannathan, and John Lillis Abstract This

More information

Post-Route Gate Sizing for Crosstalk Noise Reduction

Post-Route Gate Sizing for Crosstalk Noise Reduction Post-Route Gate Sizing for Crosstalk Noise Reduction Murat R. Becer, David Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov and Ibrahim N. Hajj Motorola Inc., Univ. of Michigan Ann Arbor,

More information

λ-oat: λ-geometry Obstacle-Avoiding Tree Construction With O(n log n) Complexity

λ-oat: λ-geometry Obstacle-Avoiding Tree Construction With O(n log n) Complexity IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 11, NOVEMBER 2007 2073 there are multiple types of buffers and the candidate locations for buffering are specified.

More information

The design of a simple asynchronous processor

The design of a simple asynchronous processor The design of a simple asynchronous processor SUN-YEN TAN 1, WEN-TZENG HUANG 2 1 Department of Electronic Engineering National Taipei University of Technology No. 1, Sec. 3, Chung-hsiao E. Rd., Taipei,10608,

More information

EDUCATION RESEARCH EXPERIENCE

EDUCATION RESEARCH EXPERIENCE PERSONAL Name: Mais Nijim Gender: Female Address: 901 walkway, apartment A1 Socorro, NM 87801 Email: mais@cs.nmt.edu Phone: (505)517-0150 (505)650-0400 RESEARCH INTEREST Computer Architecture Storage Systems

More information

Incremental Layer Assignment for Critical Path Timing

Incremental Layer Assignment for Critical Path Timing Incremental Layer Assignment for Critical Path Timing Derong Liu 1, Bei Yu 2, Salim Chowdhury 3, and David Z. Pan 1 1 ECE Department, University of Texas at Austin, Austin, TX, USA 2 CSE Department, Chinese

More information

Congestion Prediction in Early Stages of Physical Design

Congestion Prediction in Early Stages of Physical Design Congestion Prediction in Early Stages of Physical Design CHIU-WING SHAM The Hong Kong Polytechnic University EVANGELINE F. Y. YOUNG The Chinese University of Hong Kong and JINGWEI LU The Hong Kong Polytechnic

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Placement Fall 2007 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 16 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and Optimization

More information

A Time-Multiplexed FPGA

A Time-Multiplexed FPGA A Time-Multiplexed FPGA Steve Trimberger, Dean Carberry, Anders Johnson, Jennifer Wong Xilinx, nc. 2 100 Logic Drive San Jose, CA 95124 408-559-7778 steve.trimberger @ xilinx.com Abstract This paper describes

More information

OWASP Global AppSec Conference Sponsorship

OWASP Global AppSec Conference Sponsorship OWASP Global AppSec Conference Sponsorship Open Web Application Security Project (OWASP) is a global open source application security project composed of corporations, educational organizations, and individuals

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 292-862 CNRS INPG UJF TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38 Grenoble France Technology Mapping for Area Optimized Quasi Delay Insensitive Circuits Bertrand Folco,

More information

A Transistor-level Symmetrical Layout Generation for Analog Device

A Transistor-level Symmetrical Layout Generation for Analog Device R2-21 SASIMI 2012 Proceedings A Transistor-level Symmetrical Layout Generation for Analog Device Bo Yang, Qing Dong, Jing Li, Shigetoshi Nakatake Department of Information and Media Engineering, The University

More information

An O(nlogn) Algorithm for Obstacle-Avoiding Routing Tree Construction in the λ-geometry Plane *

An O(nlogn) Algorithm for Obstacle-Avoiding Routing Tree Construction in the λ-geometry Plane * An O(nlogn) Algorithm for Obstacle-Avoiding Routing Tree Construction in the λ-geometry Plane * Zhe Feng 1, Yu Hu 2, 1 CST Department 1 Tsinghua University Beijing 100084, China Phone: +86-10-62785564

More information

University of Illinois at Urbana-Champaign, Urbana, Illinois, U.S.

University of Illinois at Urbana-Champaign, Urbana, Illinois, U.S. Hongning Wang Contact Information Research Interests Education 2205 Thomas M. Siebel Center Department of Computer Science WWW: sifaka.cs.uiuc.edu/~wang296/ University of Illinois at Urbana-Champaign E-mail:

More information

Joe Michael Kniss December 2005

Joe Michael Kniss December 2005 Joe Michael Kniss December 2005 Research Interests 50 S. Central Campus Dr. MEB 3490 Salt Lake City, UT, 84112 jmk@sci.utah.edu Phone: 801-898-7977 http://www.cs.utah.edu/ jmk I am currently conducting

More information

Lossless Compression using Efficient Encoding of Bitmasks

Lossless Compression using Efficient Encoding of Bitmasks Lossless Compression using Efficient Encoding of Bitmasks Chetan Murthy and Prabhat Mishra Department of Computer and Information Science and Engineering University of Florida, Gainesville, FL 326, USA

More information

Delay and Power Optimization of Sequential Circuits through DJP Algorithm

Delay and Power Optimization of Sequential Circuits through DJP Algorithm Delay and Power Optimization of Sequential Circuits through DJP Algorithm S. Nireekshan Kumar*, J. Grace Jency Gnannamal** Abstract Delay Minimization and Power Minimization are two important objectives

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors Brent Bohnenstiehl and Bevan Baas Department of Electrical and Computer Engineering University of California, Davis {bvbohnen,

More information

Sameer Agarwal. Indian Institute of Technology M.Sc. in Mathematics and Scientific Computing.

Sameer Agarwal. Indian Institute of Technology M.Sc. in Mathematics and Scientific Computing. Sameer Agarwal 4759 NE 178 th Street Lake Forest Park, WA 98155 (858) 204-7585 sandwichmaker@gmail.com Education University of California, San Diego 2000 2006 Ph.D. in Computer Science and Engineering.

More information

High Throughput and Low Power NoC

High Throughput and Low Power NoC IJCSI International Journal of Computer Science Issues, Vol. 8, Issue 5, o 3, September 011 www.ijcsi.org 431 High Throughput and Low Power oc Magdy El-Moursy 1, Member IEEE and Mohamed Abdelgany 1 Mentor

More information

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp Scientia Iranica, Vol. 11, No. 3, pp 159{164 c Sharif University of Technology, July 2004 On Routing Architecture for Hybrid FPGA M. Nadjarbashi, S.M. Fakhraie 1 and A. Kaviani 2 In this paper, the routing

More information

Phone: (979)

Phone: (979) I-Hong Hou Curriculum Vitae Contact Texas A&M University, Room 334C WERC, College Station, TX, USA, 77843 Phone: (979)862-1092 E-mail: ihou@tamu.edu http://cesg.tamu.edu/faculty/ihong-hou/ Professional

More information