IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

Size: px
Start display at page:

Download "IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL"

Transcription

1 e-issn Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana B M 1, Ajaykumar D 2 1,2 BMS College of Engineering Abstract The ARM AMBA protocols are an open standard, on-chip interconnect specification for the connection and management of functional blocks in a System-on-Chip (SoC). AMBA buses support the efficient connection of processors, on chip memories and off chip external memory interfaces. APB, AHB, AXI, ACE are few of the ARM AMBA protocols. As the number of protocols and their complexity has increased, the demands on verification engineers to achieve productive verification and debug has also increased. The verification of the SoC bus interconnects faces the challenge of verifying the correct routing of transactions as well as security and protection modes, power management features, virtual address space and bus protocol translations while still reaching project milestones. Verification IP (VIP) blocks are inserted into the testbench for a design to check the operation of protocols and interfaces. The role of Verification intellectual property (VIP) has become increasingly important over recent years as a vital component in achieving SoC verification productivity and is now established as an essential part of any verification solution. This paper is focused on implementation of low power interface for VIP of AXI4 protocol. The AXI low-power interface provides control signals for entry into and exit from a low-power state. It allows the supported peripherals to work either in the low power mode or active mode. Through simulation waveforms and assertions the entry and exit of the AXI slave into the low power state is verified. Keywords AMBA protocols, System on chip, AXI, APB, Low power interface I. INTRODUCTION A system on chip (SoC or SOC) is an integrated circuit (IC) that integrates all components of a computer or other electronic system into a single chip. It may contain digital, analog, mixed-signal, and often radio-frequency functions. SoCs are very common in the mobile electronics market because of their low power consumption. A typical application is in the area of embedded systems. A typical SoC consists of: A microcontroller, microprocessor or digital signal processor (DSP) core multiprocessor SoCs (MPSoC) having more than one processor core Memory blocks including a selection of ROM, RAM, EEPROM and flash memory Timing sources including oscillators and phase-locked loops Peripherals including counter-timers, real-time timers and power-on reset generators External interfaces, including industry standards such as USB, FireWire, Ethernet, USART, SPI Analog interfaces including ADCs and DACs Voltage regulators and power management circuits A bus either proprietary or industry-standard connects these blocks. The ARM AMBA protocols are an open standard, on-chip interconnect specification for the connection and management of functional blocks in a System-on-Chip (SoC). It facilitates right-first-time development of multiprocessor designs with large numbers of controllers and peripherals. APB, AHB, AXI, ACE are All rights Reserved 1

2 of the ARM AMBA protocols. With its ACE, AXI, AHB and APB interface protocols, AMBA 4 has the flexibility to match every requirement. It is a standard interface specification that ensures compatibility between IP components from different design teams or vendors. The wide adoption of AMBA specifications throughout the semiconductor industry has driven a comprehensive market in third party IP products and tools to support the development of AMBA based systems. As the number of protocols and their complexity has increased, the demands on verification engineers to achieve productive verification and debug has also increased. Verification IP (VIP) blocks is inserted into the testbench for a design to check the operation of protocols and interfaces. The role of Verification intellectual property (VIP) has become increasingly important over recent years as a vital component in achieving SoC verification productivity and is now established as an essential part of any verification solution. Typical applications of SoC are consumer devices, networking communications and other segments of the electronic industry (microprocessor, media processor, GPS controllers, cellular phones, GSM phones, smart pager ASICs, digital television, video games, PC-on-a-chip). II. PROBLEM DEFINITION The SoC consists of one or more embedded processors, on-chip memory, off-chip memory, different IPs (USB, PCI, MAC etc) and interconnect which connects all these components using standard interfaces such as AXI, AHB etc. The designers integrate inhouse IPs with third party IPs into the SoC to significantly reduce design cycles. Since multiple IPs from various vendors is integrated into SoC, verification of this becomes a challenge. By using Verification IPs the system on chip designs can be verified faster, more thoroughly and with less effort. III. 3.1 Proposed Solution: METHODOLOGY AND IMPLEMENTATION Verification IP (VIP) is a verification component that is used to verify the industry standard protocols, such as USB, Ethernet, AMBA, etc. which are used in systems on chips (SoCs). VIP reduces verification cost and time for SoC verification which intern reduces the time to market. VIP typically includes monitors, checkers, coverage, test-plans, example tests, assertions and protocolcentric sequences. Reusable commercial VIP enables customers to focus their verification effort on verifying the design instead of creating, validating and supporting VIP; it also gives them a robust protocol model that has been used widely and proven across many designs. Verification IP saves months of effort from a verification project and instils confidence that the interfaces are working as expected. The aim of the paper is to develop the low power interface supported by the AXI protocol. The AXI low-power interface provides control during entry into and exit from a low-power state. It allows the supported peripherals to work either in the low power mode or active mode. The peripheral can enter low power state with the help of power down sequence. If the peripheral has no power down sequence then it can indicate the low power interface to turn off its clock. If the peripheral has a power down sequence it requires an indication from the low power interface to indicate when to initiate the power down sequence and the clock it turned off only after this request is acknowledged and the peripheral enters a low power state. 3.2 AXI Low-power interface: The low-power interface is an optional extension to the AXI protocol that targets two different classes of All rights Reserved 2

3 Any peripheral that has no power-down sequence and that can indicate when its clocks can be turned off. Any peripheral that requires a power-down sequence, and can have its clocks turned off only after it enters a low-power state. The peripheral requires an indication from a system clock controller to indicate when to initiate the power-down sequence, and must then signal when it has entered its low-power state. The low-power clock control interface consists of the following signals: A signal from the peripheral indicating when its clocks can be enabled or disabled Two handshake signals for the system clock controller to request exit or entry into a lowpower state. The CACTIVE signal indicates whether the peripheral requires a clock signal. The peripheral asserts CACTIVE HIGH when it requires the clock to be enabled, and the system clock controller must enable the clock immediately. The peripheral deasserts CACTIVE to indicate that it does not require the clock. The system clock controller can then disable the clock, but is not required to do so. CSYSREQ: The system clock controller uses the CSYSREQ signal to request: The peripheral enters a low-power state. The system clock controller drives the CSYSREQ signal LOW to initiate the request. The peripheral exits a low-power state. The system clock controller drives the CSYSREQ signal HIGH to initiate the request. CSYSACK: The peripheral uses the CSYSACK signal to acknowledge: The request to enter the low-power state. It drives CSYSACK LOW when it recognizes this request. The request to exit from low-power state. It drives CSYSACK HIGH when it recognizes this request Acceptance of low-power request: Figure 1: Acceptance of low-power request At T1 the system clock controller drives CSYSREQ LOW to request the peripheral to enter lowpower state. After the peripheral recognizes the request, it performs its power-down sequence and at T2 it drives CACTIVE LOW, to signal that the clock can be removed. Then at T3, the peripheral drives CSYSACK LOW to signal that it has completed its entry into low-power state. The peripheral must not drive CSYSACK LOW until at least one cycle after it drives CACTIVE LOW Denial of low-power All rights Reserved 3

4 Figure 2: Denial of low-power request At T1 the system clock controller drives CSYSREQ LOW to request the peripheral to enter lowpower state. At T2, the peripheral acknowledges the low-power request by driving CSYSACK LOW but denies the request by holding CACTIVE HIGH. The system clock controller must maintain the clock, and must go through the low-power state exit sequence before it can initiate another lowpower request. At T3, the system clock controller begins the low-power state exit sequence by driving CSYSREQ HIGH. At T4 the peripheral completes the exit sequence by driving CSYSACK HIGH Exiting a low-power state: Either the system clock controller or the peripheral can request exit from a low-power state. The protocol requires both CACTIVE and CSYSREQ are LOW during the low-power state, and driving either of these signals HIGH initiates the exit sequence. Figure 3: system clock controller initiated exit from low-power state Figure 4: Peripheral initiated exit from low-power All rights Reserved 4

5 3.3 Testbench Architecture: Figure 5: Testbench It contains AXI master, DUT, APB master and power controller. The AXI master is implemented in UVM. It issues the read and write instructions to the DUT (AXI slave) through the AXI interface. The APB master is implemented using UVM register layer classes and power controller is APB slave. When a value 32'h is written into a low power register of APB slave from APB master environment, APB slave drives the AXI slave into low power state by turning off its clock. When any other value is written into the APB register, the APB slave drives the AXI slave out of the low power state by turning on its clock AXI master and slave: Top module: The top module instantiates the DUT, test class and interface. Clock and reset signals are generated. The interface is configured using the uvm_config_db::set() macro. Run_test() method is called to initiate the run_phase of test class. AXI Test: The test class instantiates the AXI environment and creates them using the new() method in the build phase. In the run phase, the stimulus is applied by invoking the sequences to the DUT. AXI environment: The environment class instantiates the AXI agent and creates it using UVM factory. In the start of simulation phase uvm_top.print_topology is used to print the components of the testbench. AXI agent: The AXI agent class instantiates the AXI driver and AXI sequencer and creates them using UVM factory. In the connect phase the seq_item_port of the driver is connected to the seq_item_export of the sequencer. AXI Sequencer: The sequencer controls the flow of sequence items generated by sequences. AXI Transaction: The AXI signals such as rw, id, addr, len, size, data etc are declared as rand bits and constraints are applied. AXI Sequence: The required stimulus is generated and sent to the sequencer. Sequences for read and write, fixed burst transactions are All rights Reserved 5

6 AXI driver: In the build phase, the AXI interface is obtained using uvm_config_db::get() macro. In the run phase, the tasks reset, get and drive, sent_addr_write_trx(), sent_data_write_trx(), received_resp_write_trx(), sent_addr_read_trx(), received_data_read_trx() have been called simultaneously using fork join statement.in the reset task all the interface signals are set to 0. In get and drive task the transaction is obtained from the sequencer and depending on rw signal the transaction is put into a write queue or read queue. This process is repeated inside a forever loop.the sent_addr_write_trx(), sent_data_write_trx(), received_resp_write_trx(), sent_addr_read_trx(), received_data_read_trx() tasks are coded according to the AXI protocol and are executed forever. Interface: It contains all the signals and connects the DUT and testbench. DUT AXI slave: The slave receives the signals from the interface. It contains two state machines to implement the read and write transactions. It returns back the response to the interface APB RAL (register access layer) model: It is a power controller. It contains the APB master and slave. Top module: The top module instantiates the DUT, test class and interface. The interface is configured using the uvm_config_db::set() macro. Run_test() method is called to initiate the run_phase of test class. APB test: The reg block, sequencer and driver are created in build phase. In the connect phase the driver and sequencer are connected through seq_item_port.connect(), adapter is created and it is connected to sequencer using default address map defined in the reg block. In the run phase sequence is created and sequence is started on bus sequencer. APB registers: Fields of the registers are created and configured for read write field access policy. Each of the registers is assigned with a fixed address. APB register block: Two registers are built and configured. These registers are added to the default address map using add_reg() function. APB adapter: It has two inbuilt functions: bus2reg and reg2us. The reg2bus function copies the transactions from the registers to the bus and sends it to the sequencer using address map. The bus2reg copies the transactions from bus to registers. APB sequences: Read and write tasks on the registers of the register block are instantiated. APB Sequencer: The sequencer controls the flow of sequence items generated by sequences. APB Driver: Receives transactions from sequencer through seq_item_port.get() and applies it to DUT interface. Response received from the DUT interface is sent back to sequence using seq_item_port.put(). The signals are applied to the interface according to the protocol. Interface: It connects the DUT and the testbench DUT(APB slave): It is coded according to the protocol. The data is written into the registers for write operation and data is read from the registers for read operation Low power operation: The power controller is connected to AXI slave using the low power interface. Register R1 of the APB slave is considered as a low power register. When written with a value 32'h it sends a request to AXI slave to enter the low power states by driving the CSYSREQ signal low. The slave then acknowledges the request by driving the CSYSACK signal low. AXI slave also desserts CACTIVE signal to indicate that it does not require the clock. The power controller then disables the clock to the AXI slave. When a value other than 32'h is written into the register R1, the power controller requests the AXI slave to exit the low power states by driving CSYSREQ signal high. The All rights Reserved 6

7 acknowledges it by asserting CSYSACK signal. It also asserts CACTIVE signal to indicate that it requires clock to perform its operations. The power controller then enables the clock to AXI slave module. IV. RESULTS In the test class the AXI sequence and APB sequences are called simultaneously using fork and join statements. 1. Request to enter low-power state at 130ns Figure 6: Request to enter low-power 2. Request to exit low-power state at 360ns Figure 7: Request to exit All rights Reserved 7

8 V. CONCLUSION The verification environment was developed in UVM. AXI master and slave for basic read-write transactions were implemented. It was tested for it s functionality through simulations. The power controller was designed. By writing into one of the register of power controller with the help of APB master, the DUT was controlled to enter and exit the low power state. The DUT here has no power down sequence and will always respond to the request sent from the power controller. Simulations and assertions were used to verify the low power operation of the DUT. REFERENCES [1] Pradeep SR; Lakshmi C, Design and verification environment for AMBA AXI protocol for SOC integration, International journal of research in engineering and technology.eissn [2] MayankRai Nigam; Mrs.ShivangiBande, AXI interconnect with four masters and four slaves, International journal of engineering research and general science,volume 2 issue 4, June-July 2014 ISSN [3] Shaila S math; Manjula B R, Design of AMBA AXI protocol for system on chip communication, International journal of communication network and security, volume 1 issue 3, ISSN: [4] Golla Mahesh; Sakthivel.S.M, Verification IP for an AMBA-AXI Protocol using System Verilog, International journal of Engineering Research and General Science, volume 3, issue 1, January-February 2015, ISSN [5] AMBA AXI protocol specifications, ARM, Version IHI 0022B [6] AMBA APB protocol specifications, ARM, Version IHI 0024B [7] Universal Verification Methodology (UVM) 1.2 User's guide, Accellera, October 8, All rights Reserved 8

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Akhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya Accellera Systems Initiative 1 Motivation Agenda Generic AMBA based

More information

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL Smitha A P1, Ashwini S2 1 M.Tech VLSI Design and Embedded Systems, ECE Dept. 2 Assistant Professor, ECE Dept. NCET, Bengaluru, India. ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

Design and Verification of Slave Block in Ethernet Management Interface using UVM

Design and Verification of Slave Block in Ethernet Management Interface using UVM Indian Journal of Science and Technology, Vol 9(5), DOI: 10.17485/ijst/2016/v9i5/87173, February 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design and Verification of Slave Block in Ethernet

More information

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG Harsha Garua 1, Keshav Sharma 2, Chusen Duari 3 1 Manipal University Jaipur 2 Manipal University Jaipur 3 Assistant

More information

Tackling Verification Challenges with Interconnect Validation Tool

Tackling Verification Challenges with Interconnect Validation Tool Tackling Verification Challenges with Interconnect Validation Tool By Hao Wen and Jianhong Chen, Spreadtrum and Dave Huang, Cadence An interconnect, also referred to as a bus matrix or fabric, serves as

More information

Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs

Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs Design and Coverage Driven Verification of AXI2OCP Bridge for Industrial SoC Designs Limbanna. P. Rathod, Dr. Jayanthi. K. Murthy, Mr. Shaik Chand Basha Post Graduate student, Associate Professor - Dept.

More information

AXI and OCP protocol Interface for Sytem on Chip

AXI and OCP protocol Interface for Sytem on Chip AXI and OCP protocol Interface for Sytem on Chip Ms. Monica Damor 1, Mr Gardas Naresh Kumar 2, Mr. Santosh Jagtap 3 1 Research Scholar, GTU PG School,Gujarat,India 2 Course Co-Ordinator, CDAC ACTS, Maharashtra,

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE Aparna Kharade 1 and V. Jayashree 2 1 Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra, India.

More information

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

Pooja Kawale* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, Pooja Kawale* et al ISSN: 2250-3676 [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, 161-165 Design of AMBA Based AHB2APB Bridge Ms. Pooja Kawale Student

More information

VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM

VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM VERIFICATION OF DRIVER LOGIC USING AMBA- AXI UVM Bijal Thakkar 1 and V Jayashree 2 1 Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra,

More information

Set a longer list of transaction attributes as per protocol legality Perform the cache data/state update at end of transaction, as needed

Set a longer list of transaction attributes as per protocol legality Perform the cache data/state update at end of transaction, as needed Cache Coherent Interface Verification IP How a Cache Controller and Generic APIs Can Make It Easier to Generate Stimulus. by Amit Kumar Jain, Questa VIP Product Team, Mentor Graphics ABSTRACT In a multi-processor

More information

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA) Bus AMBA Advanced Microcontroller Bus Architecture (AMBA) Rene.beuchat@epfl.ch Rene.beuchat@hesge.ch Réf: AMBA Specification (Rev 2.0) www.arm.com ARM IHI 0011A 1 What to see AMBA system architecture Derivatives

More information

Verification of I2C module for Multiprotocol Serial Controller

Verification of I2C module for Multiprotocol Serial Controller e-issn 2455 1392 Volume 2 Issue 4, April 2016 pp. 548-555 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Verification of I2C module for Multiprotocol Serial Controller Subham Punit Patro1,

More information

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT 1 Lecture 5: Computing Platforms Asbjørn Djupdal ARM Norway, IDI NTNU 2013 2 Lecture overview Bus based systems Timing diagrams Bus protocols Various busses Basic I/O devices RAM Custom logic FPGA Debug

More information

ELCT 912: Advanced Embedded Systems

ELCT 912: Advanced Embedded Systems ELCT 912: Advanced Embedded Systems Lecture 2-3: Embedded System Hardware Dr. Mohamed Abd El Ghany, Department of Electronics and Electrical Engineering Embedded System Hardware Used for processing of

More information

Assertion Based Verification of AMBA-AHB Using System Verilog

Assertion Based Verification of AMBA-AHB Using System Verilog Assertion Based Verification of AMBA-AHB Using System Verilog N.Karthik M.Tech VLSI, CMR Institute of Technology, Kandlakoya Village, Medchal Road, Hyderabad, Telangana 501401. M.Gurunadha Babu Professor

More information

Verification of Advanced High Speed Bus in UVM Methodology

Verification of Advanced High Speed Bus in UVM Methodology 373 Verification of Advanced High Speed Bus in UVM Methodology Malla Siva Ramakrishna 1, Badireddy Satya Sridevi 2 1 Student, Dept. of Electronics and Communications Engg., Aditya Engineering College,

More information

Title: Using Test-IP Based Verification Techniques in a UVM Environment

Title: Using Test-IP Based Verification Techniques in a UVM Environment Title: Using Test-IP Based Verification Techniques in a UVM Environment Vidya Bellippady Sundar Haran Jay O Donnell Microsemi Corporation Microsemi Corporation Mentor Graphics San Jose, CA Hyderabad, India

More information

AMBA 3 AHB Lite Bus Architecture

AMBA 3 AHB Lite Bus Architecture AMBA 3 AHB Lite Bus Architecture 1 Module Syllabus What is a Bus Bus Types ARM AMBA System Buses AMBA3 AHB-Lite Bus Bus Operation in General AHB Bus Components AHB Bus Signals AHB Bus Basic Timing AHB

More information

SOC Design Technique for AMBA AXI4 Using Verilog HDL

SOC Design Technique for AMBA AXI4 Using Verilog HDL SOC Design Technique for AMBA AXI4 Using Verilog HDL Neelamma.S M.Tech(VLSI), Ellenki College of Engineering for Woman. Sachin Kumar Dubalgunde Co-Guide, M.B.A ISBM. K. Bindu Bhargavi Goud Guide, M.Tech(VLSI),

More information

Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA

Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA Iqbalur Rahman Rokon, Toufiq Rahman, and Ahsanuzzaman Abstract - In this paper, the design of AMBA processor interface and its

More information

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs October 2014 Introduction Today s FPGAs and System-on-Chip (SoC) FPGAs offer vast amounts of user configurable resources

More information

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS Nikhil B. Gaikwad 1, Vijay N. Patil 2 1 P.G. Student, Electronics & Telecommunication Department, Pimpri Chinchwad College of Engineering, Pune,

More information

Microprocessor (COM 9323)

Microprocessor (COM 9323) Microprocessor (COM 9323) Lecture 1: Introduction Ahmed Elnakib, PhD Assistant Professor, Mansoura University, Egypt Feb 17 th, 2016 1 Course Syllabus* o Introduction to computer architecture o Basics

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 11, November 2015,

More information

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction. Volume 4, Issue 3, March 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of an Efficient

More information

Design and Verification Point-to-Point Architecture of WISHBONE Bus for System-on-Chip

Design and Verification Point-to-Point Architecture of WISHBONE Bus for System-on-Chip International Journal of Emerging Engineering Research and Technology Volume 2, Issue 2, May 2014, PP 155-159 Design and Verification Point-to-Point Architecture of WISHBONE Bus for System-on-Chip Chandrala

More information

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions Abstract The increase of SoC complexity with more cores, IPs and other subsystems has led SoC architects

More information

Bus Interfaces and Standards. Zeljko Zilic

Bus Interfaces and Standards. Zeljko Zilic Bus Interfaces and Standards Zeljko Zilic Overview Principles of Digital System Interconnect Modern bus Standards: PCI, AMBA, USB Scalable Interconnect: Infiniband Intellectual Property (IP) Reuse Reusable

More information

Verification of AHB Protocol using UVM

Verification of AHB Protocol using UVM Verification of AHB Protocol using UVM Tejaswini H N 1 Asst. Prof. Dept. of ECE, Sambhram Inst of Technology, Revati Bothe 2 Team leader, SmartPlay Technologies Ravishankar C V 3 Prof. Dept. of ECE Sambhram

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics ABSTRACT Functional verification is critical in the development of today s complex digital

More information

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE

VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE VERIFICATION ANALYSIS OF AHB-LITE PROTOCOL WITH COVERAGE Richa Sinha 1, Akhilesh Kumar 2 and Archana Kumari Sinha 3 1&2 Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India 3 Department of Physics,

More information

VLSI Design of Multichannel AMBA AHB

VLSI Design of Multichannel AMBA AHB RESEARCH ARTICLE OPEN ACCESS VLSI Design of Multichannel AMBA AHB Shraddha Divekar,Archana Tiwari M-Tech, Department Of Electronics, Assistant professor, Department Of Electronics RKNEC Nagpur,RKNEC Nagpur

More information

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp Design of High Speed AMBA APB Master Slave Burst Data Transfer for ARM Microcontroller Kottu Veeranna Babu 1, B. Naveen Kumar 2, B.V.Reddy 3 1 M.Tech Embedded Systems Student, Vikas College of Engineering

More information

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG International Journal of Mechanical Engineering and Technology (IJMET) Volume 8, Issue 5, May 2017, pp. 588 595, Article ID: IJMET_08_05_065 Available online at http://www.ia aeme.com/ijmet/issues.asp?jtype=ijmet&vtyp

More information

Design And Implementation of Efficient FSM For AHB Master And Arbiter

Design And Implementation of Efficient FSM For AHB Master And Arbiter Design And Implementation of Efficient FSM For AHB Master And Arbiter K. Manikanta Sai Kishore, M.Tech Student, GITAM University, Hyderabad Mr. M. Naresh Kumar, M. Tech (JNTUK), Assistant Professor, GITAM

More information

Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation

Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation The use of graph-based verification methods for block designs has been shown

More information

Stacking UVCs Methodology. Revision 1.2

Stacking UVCs Methodology. Revision 1.2 Methodology Revision 1.2 Table of Contents 1 Stacking UVCs Overview... 3 2 References... 3 3 Terms, Definitions, and Abbreviations... 3 4 Stacking UVCs Motivation... 4 5 What is a Stacked UVC... 6 5.1

More information

iimplementation of AMBA AHB protocol for high capacity memory management using VHDL

iimplementation of AMBA AHB protocol for high capacity memory management using VHDL iimplementation of AMBA AHB protocol for high capacity memory management using VHDL Varsha vishwarkama 1 Abhishek choubey 2 Arvind Sahu 3 Varshavishwakarma06@gmail.com abhishekchobey84@gmail.com sahuarvind28@gmail.com

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Verification of AMBA AXI4 Protocol Using UVM

Verification of AMBA AXI4 Protocol Using UVM Verification of AMBA AXI4 Protocol Using UVM G Sai Divya 1, K. Niranjan Reddy 2 1 M-Tech Scholar, Department of ECE, Malla Reddy Engineering College for Women, Hyderabad 2 Assistant Professor, Department

More information

An Introduction to Universal Verification Methodology

An Introduction to Universal Verification Methodology An Introduction to Universal Verification Methodology 1 Bhaumik Vaidya 2 NayanPithadiya 1 2 Department of Electronics Engineering, Gujarat Technological University, Gandhinagar, Gujarat, India. 1 vaidya.bhaumik@gmail.com

More information

AMBA AHB Bus Protocol Checker

AMBA AHB Bus Protocol Checker AMBA AHB Bus Protocol Checker 1 Sidhartha Velpula, student, ECE Department, KL University, India, 2 Vivek Obilineni, student, ECE Department, KL University, India 3 Syed Inthiyaz, Asst.Professor, ECE Department,

More information

A New Class Of Registers

A New Class Of Registers A New Class Of s M. Peryer Mentor Graphics (UK) Ltd., Rivergate, London Road, Newbury, Berkshire, RG14 2QB, United Kingdom D. Aerne Mentor Graphics Corp., 8005 SW Boeckman Road, Wilsonville, OR USA 97070-7777

More information

Design and Implementation of AMBA AXI to AHB Bridge K. Lakshmi Shirisha 1 A.Ramkumar 2

Design and Implementation of AMBA AXI to AHB Bridge K. Lakshmi Shirisha 1 A.Ramkumar 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 01, 2015 ISSN (online): 2321-0613 K. Lakshmi Shirisha 1 A.Ramkumar 2 2 Assistant Professor 1,2 Department of Electronic

More information

Effective Verification of ARM SoCs

Effective Verification of ARM SoCs Effective Verification of ARM SoCs Ron Larson, Macrocad Development Inc. Dave Von Bank, Posedge Software Inc. Jason Andrews, Axis Systems Inc. Overview System-on-chip (SoC) products are becoming more common,

More information

Bring IP verification closer to SoC

Bring IP verification closer to SoC Bring IP verification closer to SoC Scalable Methods to Bridge the Gap between IP and SoC Verification Gaurav Gupta, Tejbal Prasad, Rohit Goyal, Sachin Jain, Vipin verma Automative Industrial Solutions

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Interrupting SmartFusion MSS Using FABINT

Interrupting SmartFusion MSS Using FABINT Application Note AC339 Interrupting SmartFusion MSS Using FABINT Table of Contents Introduction................................................ 1 Design Example Overview........................................

More information

ISSN Vol.03, Issue.08, October-2015, Pages:

ISSN Vol.03, Issue.08, October-2015, Pages: ISSN 2322-0929 Vol.03, Issue.08, October-2015, Pages:1284-1288 www.ijvdcs.org An Overview of Advance Microcontroller Bus Architecture Relate on AHB Bridge K. VAMSI KRISHNA 1, K.AMARENDRA PRASAD 2 1 Research

More information

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components By William Orme, Strategic Marketing Manager, ARM Ltd. and Nick Heaton, Senior Solutions Architect, Cadence Finding

More information

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics ABSTRACT The challenges inherent in verifying today s complex designs

More information

AMBA Protocol for ALU

AMBA Protocol for ALU International Journal of Emerging Engineering Research and Technology Volume 2, Issue 5, August 2014, PP 51-59 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) AMBA Protocol for ALU K Swetha Student, Dept

More information

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Pranav Kumar, Staff Engineer Digvijaya Pratap SINGH, Sr. Staff Engineer STMicroelectronics, Greater NOIDA,

More information

An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer

An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer Abstract: Waheeda Begum M.Tech, VLSI Design & Embedded System, Department of E&CE, Lingaraj Appa Engineering College, Bidar. On-Chip program

More information

Verification of Digital Systems, Spring UVM Basics

Verification of Digital Systems, Spring UVM Basics 1 UVM Basics Nagesh Loke ARM Cortex-A Class CPU Verification Lead 1 What to expect This lecture aims to: demonstrate the need for a verification methodology provide an understanding of some of the key

More information

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari Design and Verification of Serial Peripheral Interface ISSN: 2321-9939 Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari 1,3 MTech Student,

More information

FPGA chip verification using UVM

FPGA chip verification using UVM FPGA chip verification using UVM Ravi Ram Principal Verification Engineer Altera Corp Charles Zhang Verification Architect Paradigm Works Outline Overview - Verilog based verification environment - Why

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

AXI4-Stream Verification IP v1.0

AXI4-Stream Verification IP v1.0 AXI4-Stream Verification IP v1.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

DESIGN AND VERIFICATION OF LOW SPEED PERIPHERAL SUBSYSTEM SUPPORTING PROTOCOLS LIKE SPI, I 2 C AND UART

DESIGN AND VERIFICATION OF LOW SPEED PERIPHERAL SUBSYSTEM SUPPORTING PROTOCOLS LIKE SPI, I 2 C AND UART DESIGN AND VERIFICATION OF LOW SPEED PERIPHERAL SUBSYSTEM SUPPORTING PROTOCOLS LIKE SPI, I 2 C AND UART Shanthipriya S 1 and Lakshmi S 2 1 Masters of Technology Very Large Scale Integrated, Sathyabama

More information

Design and Implementation of AXI to AHB Bridge Based on AMBA 4.0

Design and Implementation of AXI to AHB Bridge Based on AMBA 4.0 Design and Implementation of AXI to AHB Bridge Based on AMBA 4.0 1 K. Lakshmi Shirisha & 2 A. Ramkumar 1,2 C R Reddy College of Engineering Email : 1 lakshmishirisha.69@gmail.com, 2 ramkumar434@gmail.com

More information

Basic Components of Digital Computer

Basic Components of Digital Computer Digital Integrated Circuits & Microcontrollers Sl. Mihnea UDREA, mihnea@comm.pub.ro Conf. Mihai i STANCIU, ms@elcom.pub.ro 1 Basic Components of Digital Computer CPU (Central Processing Unit) Control and

More information

Military Grade SmartFusion Customizable System-on-Chip (csoc)

Military Grade SmartFusion Customizable System-on-Chip (csoc) Military Grade SmartFusion Customizable System-on-Chip (csoc) Product Benefits 100% Military Temperature Tested and Qualified from 55 C to 125 C Not Susceptible to Neutron-Induced Configuration Loss Microcontroller

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 11, November-2013 ISSN 58 Assertion Based Verification of AMBA-AHB Using Synopsys VCS Akshay Mann, Ashwani Kumar Abstract-The successof assertion based functional verification depends on the debugging environment associated

More information

Chapter 6 Storage and Other I/O Topics

Chapter 6 Storage and Other I/O Topics Department of Electr rical Eng ineering, Chapter 6 Storage and Other I/O Topics 王振傑 (Chen-Chieh Wang) ccwang@mail.ee.ncku.edu.tw ncku edu Feng-Chia Unive ersity Outline 6.1 Introduction 6.2 Dependability,

More information

Advanced Microcontrollers Grzegorz Budzyń Extras: STM32F4Discovery

Advanced Microcontrollers Grzegorz Budzyń Extras: STM32F4Discovery Advanced Microcontrollers Grzegorz Budzyń Extras: STM32F4Discovery Plan STM32F4Discovery module STM32F407 description STM32F4Discovery STM32F4Discovery Easily availble(farnell), cheap(~15 EUR) and powerful

More information

CoreResetP v7.0. Handbook

CoreResetP v7.0. Handbook CoreResetP v7.0 Handbook CoreResetP v5.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 4 Supported Microsemi FPGA Families... 4 Core Version... 4 Interface Description...

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

DG0633 Demo Guide IGLOO2 FPGA CoreTSE MAC 1000 Base-T Loopback Demo - Libero SoC v11.7 SP2

DG0633 Demo Guide IGLOO2 FPGA CoreTSE MAC 1000 Base-T Loopback Demo - Libero SoC v11.7 SP2 DG0633 Demo Guide IGLOO2 FPGA CoreTSE MAC 1000 Base-T Loopback Demo - Libero SoC v11.7 SP2 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

Architectural design proposal for real time clock for wireless microcontroller unit

Architectural design proposal for real time clock for wireless microcontroller unit Architectural design proposal for real time clock for wireless microcontroller unit Muhammad Nor Azwan Mohd Alias 1, *, and Shaiful Nizam Mohyar 1 1 School of Microelectronic Engineering, University Malaysia

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 4: Bit of assembly, Memory-mapped I/O, APB January 16, 2018 1 Admin HW2 Due Thursday. HW1 answers posted as

More information

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION Jiri Gaisler Gaisler Research, Första Långgatan 19, 413 27 Göteborg, Sweden Abstract: Key words: An open-source IP library based on the AMBA-2.0

More information

Design of AMBA Based AHB2APB Bridge

Design of AMBA Based AHB2APB Bridge 14 Design of AMBA Based AHB2APB Bridge Vani.R.M and M.Roopa, Reader and Head University Science Instrumentation Center, Gulbarga University, Gulbarga, INDIA Assistant Professor in the Department of Electronics

More information

Product Series SoC Solutions Product Series 2016

Product Series SoC Solutions Product Series 2016 Product Series Why SPI? or We will discuss why Serial Flash chips are used in many products. What are the advantages and some of the disadvantages. We will explore how SoC Solutions SPI and QSPI IP Cores

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

Introduction to ARM LPC2148 Microcontroller

Introduction to ARM LPC2148 Microcontroller Introduction to ARM LPC2148 Microcontroller Dr.R.Sundaramurthy Department of EIE Pondicherry Engineering College Features of LPC2148 in a Nut Shell CPU = ARM 7 Core Word Length = 32 Bit ROM = 512 KB RAM

More information

CoreHPDMACtrl v2.1. Handbook

CoreHPDMACtrl v2.1. Handbook CoreHPDMACtrl v2. Handbook CoreHPDMACtrl v2. Handbook Table of Contents Introduction...5 General Description... 5 Key Features... 5 Core Version... 5 Supported Families... 5 Utilization and Performance...

More information

Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL

Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL Functional Verification of xhci (extensible host controller Interface) for USB 3.1 Using HDL 1 Mr. Dipesh Gehani, 2 Prof. Ketan N. Patel, M.E. Student, Assistant Professor Vishwakarma Government Engineering

More information

AMBA 3 AXI. Protocol Checker. User Guide. r0p1. Copyright 2005, 2006, 2009 ARM. All rights reserved. ARM DUI 0305C (ID071309)

AMBA 3 AXI. Protocol Checker. User Guide. r0p1. Copyright 2005, 2006, 2009 ARM. All rights reserved. ARM DUI 0305C (ID071309) AMBA 3 AXI Protocol Checker r0p1 User Guide Copyright 2005, 2006, 2009 ARM. All rights reserved. ARM DUI 0305C () AMBA 3 AXI Protocol Checker User Guide Copyright 2005, 2006, 2009 ARM. All rights reserved.

More information

CoreAHBtoAPB3 v3.1. Handbook

CoreAHBtoAPB3 v3.1. Handbook CoreAHBtoAPB3 v3.1 Handbook CoreAHBtoAPB3 v3.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 3 Supported Microsemi FPGA Families... 3 Core Version... 4 Supported Interfaces...

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

System on Chip (SoC) Design

System on Chip (SoC) Design System on Chip (SoC) Design Moore s Law and Technology Scaling the performance of an IC, including the number components on it, doubles every 18-24 months with the same chip price... - Gordon Moore - 1960

More information

Efficient Verification of Mixed-Signal SerDes IP Using UVM

Efficient Verification of Mixed-Signal SerDes IP Using UVM Efficient Verification of Mixed-Signal SerDes IP Using UVM Varun R, Senior Design Engineer, Cadence Vinayak Hegde, Design Engineering Manager, Cadence IP are an integral part of systems-on-chips (SoC)

More information

ERRATA SHEET INTEGRATED CIRCUITS. Date: 2008 June 2 Document Release: Version 1.6 Device Affected: LPC2468. NXP Semiconductors

ERRATA SHEET INTEGRATED CIRCUITS. Date: 2008 June 2 Document Release: Version 1.6 Device Affected: LPC2468. NXP Semiconductors INTEGRATED CIRCUITS ERRATA SHEET Date: 2008 June 2 Document Release: Version 1.6 Device Affected: LPC2468 This errata sheet describes both the known functional problems and any deviations from the electrical

More information

Leveraging Formal Verification Throughout the Entire Design Cycle

Leveraging Formal Verification Throughout the Entire Design Cycle Leveraging Formal Verification Throughout the Entire Design Cycle Verification Futures Page 1 2012, Jasper Design Automation Objectives for This Presentation Highlight several areas where formal verification

More information

SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User s Guide

SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User s Guide SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User s Guide SmartFusion2 SoC FPGA Demo: Code Shadowing from SPI Flash to SDR Memory User's Guide Table of Contents SmartFusion2

More information

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip Abhinav Tiwari M. Tech. Scholar, Embedded System and VLSI Design Acropolis Institute of Technology and Research, Indore (India)

More information

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER Dr.T.C.Thanuja [1], Akshata [2] Professor, Dept. of VLSI Design & Embedded systems, VTU, Belagavi, Karnataka,

More information

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes FPGA designs are becoming too large to verify by visually checking waveforms, as the functionality

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information