AMS DESIGN METHODOLOGY

Size: px
Start display at page:

Download "AMS DESIGN METHODOLOGY"

Transcription

1 OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate design flows to help design teams create differentiated silicon faster and with less risk. It delivers verified and packaged methodologies demonstrated on a real-world mixed-signal design. The Cadence AMS Design Methodology combines the best of top-down (behavioral and mixed-level approaches) with bottom-up (transistor-level design and abstraction) design techniques to achieve predictable, high-quality results for complex mixedsignal designs. AMS DESIGN METHODOLOGY The Cadence AMS Design Methodology delivers an extensive design and data flow guide, from design specification through design manufacturing, across the different functions of a design team. It is based on executable design tasks and recommended use models for fast, silicon-accurate mixed-signal design that ensures first-pass silicon success. The AMS Design Methodology addresses the analog-driven mixed-signal design process front to back by executing well-defined flows that demonstrate a meetin-the-middle approach, in which all design flows are running in parallel to minimize design iterations, maximize project resource utilization, and enhance design quality. Design environment and infrastructure Top-down functional verification AMS IP block creation and reuse Top-down physical design AMS IP export and integration The AMS Design Methodology addresses the entire design process and comprises five major flows: Figure 1: The Cadence AMS Design Methodology consists of five main flows 1. Design environment and infrastructure 2. Top-down functional verification 3. AMS IP block creation and reuse 4. AMS IP export and integration 5. Top-down physical design

2 Design Data Input Top-Down Design 2 Strategy Design Data Output 1A Target CDK (90nm) 3 Design Partitioning 4 Sub-Blocks Specifications 6 Concept 9 Performance 13 Post-Layout 16 Signoff 1B Design Specs 1C System-Level Models and Sims 1D Third-Party IP 5A Circuit Design 5B Behavioral Design 5C Circuit Migration 5D Digital Hierarchical RTL Design 5E Block IP 8A Circuit Design and Optimization 8B Digital Design Synthesis 8C Block IP Qualification 10A Block Physical Estimation 10B Block IP Physical Import 12A Analog Physical Design 12B Digital Block Physical Design 12C Block IP Layout Integration 12D Layout Migration 14 Block Physical Integration Preparation 17 Preparation for SoC Integration Bottom-up and Physical Design 1E Legacy IP 7 Early Floorplanning 11 Refinement Floorplanning 15 Assembly Top-Down Physical Design Figure 2: The combination of top-down (behavioral/mixed-level) and bottom-up (transistor-level design/abstraction) techniques ensures high-quality results The five flows are further divided into modules of logically related design tasks, which are illustrated and documented with in-context scenarios. The different scenarios are demonstrated on a siliconimplemented and verified real-life design, namely an Ethernet physical layer macro (PHY) and a sigma-delta fractional-n PLL frequency synthesizer macro for WLAN applications. The Ethernet PHY contains 20k analog devices and 30k digital gates including typical analog, digital, and mixed-signal blocks such as flash ADC, VGA, equalizer, and clock recovery circuit. The fractional-n PLL is a 2.4GHz synthesizer that contains 20k devices and includes a 5GHz LC VCO, a high-speed divider, on-chip regulators, and a calibration mechanism for loop filtering and VCO. Both Ethernet PHY and frac-n PLL are implemented on a 90nm generic process design kit (GPDK), which has virtually all the aspects of an actual design kit. The design blocks have all the necessary views for complete design, including symbols, schematics, constraints, behavioral models, abstracts, layout, and extracted views, as well as configurations, testbenches, and simulation states. A design team can use the reference design as a basis to enter a new design domain, understand a wide range of new Virtuoso technologies, acquire new methodologies, and map selected elements onto their own design environment. ETHERNET PHY Transceiver Macro Rx Digital 10BASE-T Receiver 10BASE-TX Receiver 60k Gate Analog 30k Device PFD & CP Clk Tx Polarity Correction Squelch Link Detect VGA Control Digital Equalizer/Slicer Timing/BLW Control dvdd/dgnd Modulator Control 10BASE-T PLL 100BASE-TX PLL 10BASE-T Driver 100BASE-TX Driver Loop Filter dvdd/dgnd Modulator Multi- Modulus Divider LPF Clock Recovery Manchester Decoder MLT-3 Decoder Descrambler Autonegotiation Manchester Encoder Digital Waveshaping MLT-3 Dedoder Scrambler 1.2V (LF) 1.2V (LF) 1.2V (HF) 1.2V (HF) 1.2V (HF) Collision Carrier Sense VCO 4B/5B Decoder 4B/5B Encoder ATB 1.2V (HF) I & Q Divide by 2 MII 1.2V Regulator (HF) 1.2V Regulator (LF) RC Calibration Control VCO Calibration Control dvdd/dgnd dvdd/dgnd Figure 3: The Cadence AMS Design Methodology is demonstrated on a real-world mixed-signal design 2

3 FEATURES DESIGN ENVIRONMENT AND INFRASTRUCTURE Any design process takes place in a certain environment including different projects, CAD tools, process design kits (PDKs), and users on different hardware platforms and operating systems. It is very important to create a consistent design environment to ensure the quality of the design and the credibility of the results. This part of the Cadence AMS Design Methodology gives the foundation to set up a design environment using tested and proved methods and technologies, including incremental tool access, project directory structure, how to set up and control PDKs, and how to automate project and flow setup using the Design Environment and Configuration Manager. The data exchange between the design house and the foundry is explained, detailing required datasets from the foundry and how to qualify them against the defined AMS flows. Special attention is given to the PDK how to automatically check its content using the Data Surveyor and how to use the Incremental Technology Database (ITDB) to customize and enhance the PDK TOP-DOWN FUNCTIONAL VERIFICATION A comprehensive functional verification flow is presented, spanning all levels of abstraction and all design stages, from planning to post-layout device-level signoff verification. First, an introduction to the concept of design partitioning and simulation planning is given. Next, behavioral modeling guidelines and testbench strategies are presented. A consistent testbench structure is used over all later stages of verification, starting with concept validation using behavioral model representation in AMS simulation, and system validation using Simulink/AMS co-simulation. Next is performance validation using mixed-level-transistor plus behavioral-level simulation on Virtuoso AMS Designer Simulator with SDF backannotated to the digital part. Finally, a post-layout and signoff verification is prepared to include both analog extracted parasitics and SDF backannotation for the most accurate timing estimation using Virtuoso AMS-Ultra Simulator. An IDDQ analysis is performed using full extracted transistor-level DC simulation with the Virtuoso UltraSim Full-Chip Simulator along with top-level EM IR drop analysis. /projects/ ProjectA/ ProjectB/ ProjectC/ deslibs/ doc/ Design libraries Project Documents user1/ user2/.cdsinit.cds.lib display.drf Working libraries assura_tech.lib.csdenv hdl.var Figure 4: AMS design environment and infrastructure Figure 5: AMS top-down functional verification 3

4 AMS IP BLOCK CREATION AND REUSE A thorough approach to creation of both analog and digital blocks is presented using productivity-oriented Virtuoso technology. The constraints concept and management is used to amend the schematic with the required information to automatically create its layout. Furthermore, constraints can be inferred from pre-defined circuit structures using the Circuit Prospector Assistant. New layout techniques like design-rule driven (DRD), module generator (Modgen), and constraint-driven editing are shown in action through a dedicated assisted layout module. A new approach to simulation is shown through the specification-oriented simulation platform (Virtuoso Analog Design Environment) with its numerous productivity enhancement features including simulation history, check points manager, parameterization flow, design specifications, and parasitic estimation flow. The high-capacity Virtuoso Analog Design Environment optimization engine is used for local and global optimization on the block level, over corners, and as a yield optimizer with Monte Carlo and sensitivity analyses. AMS IP EXPORT AND INTEGRATION The IP flow is a comprehensive guide for analog and digital IP handling, from top-level integration to extensive characterization and packaging. On the exporting side, a complete step-by-step scenario of characterizing and modeling an analog IP in Verilog - AMS is presented, taking an N-bit flash ADC as an example. Automated testbench extraction is discussed; generic behavioral model planning, coding, and debugging is illustrated. The model includes advanced features like noise, aperture time, INL, and DNL parameters. The layout abstract is generated using the Virtuoso Abstract Generator. The timing information (.lib) file for top-level digital integration is generated using Virtuoso Spectre MDL language and verified by importing to the Cadence Encounter platform. Finally, packaging of all generated views for publishing is discussed and implemented using Vulcan technology. Target PDK Target DFH library where generated DFH will be located Repository directory where non-dfh outputs will be stored Processing scratch run directory for various log files and temporary data Inherited connections definition for global nodes List of cell found in various inputs data and the target repository library if it already exists Each entry represents a cell and columns represents views that need to be created and to be re-used Selection of views to be created Definition of power and ground nodes used at several stages of view creation (RCX, CeltiC, VoltageStorm) Figure 6: AMS IP block creation and reuse Later, Virtuoso Layout Optimizer is used to boost the yield on the back end. A tutorial introduction to analog-driven digital implementation using the Virtuoso Digital Implementation Option shows a typical digital layout flow including planning, prototyping, placement, routing, timing optimization, clock tree synthesis, SDF generation, parasitic extraction, and parasitic closure. Figure 7: AMS IP export and integration 4

5 On the importing and integration side, feasibility of IP integration employing multi-technology simulation (MTS) is exemplified, followed by actual import using Vulcan technology. Legacy cdb file import into the Virtuoso OpenAccess (OA) database is shown. Importing of digital IP in an analog context is also presented. TOP-DOWN PHYSICAL DESIGN The physical design flow introduces a true top-down approach to chip layout using state-of-the-art Virtuoso technologies. Special emphasis is given to early floorplanning to get information about the critical parasitics to feed back to the verification flow. This is possible through a Virtuoso Floorplanner, a Physical Hierarchy Configurator, and an Abstract Generator, along with several floorplanning techniques like connectivity analysis, area estimation, pushdown block shaping, and pin optimization. The flow is illustrated on the PLL. The analog-oriented physical assembly and routing is described using both Virtuosos Chip Assembly Router and Virtuoso Space- Based Router, both accepting design constraints. The flow is demonstrated by top-level routing of the Ethernet PHY and the PLL macro using advanced analog routing techniques like critical signal, differential signal, shielded signal, bundle, and supply routing. After routing, chip finishing is applied, including metal density and antenna checks, metal filling, and guard rings. The assembled layout is then verified using Cadence Assura verification technology with dedicated scenarios for Design Rule Checking (DRC), Layout Versus Schematic (LVS) checking, and Parasitic Extraction (RCX) applied to the Ethernet PHY. A comprehensive guide to practical Assura features like flat and hierarchical, black-box or selected area checking, different netlisting, and extracted parasitic formats is illustrated. EXECUTABLE SCENARIOS DESIGN ENVIRONMENT AND INFRASTRUCTURE FLOW AMS design flow overview Foundry enablement Project environment setup Automated project setup with the Design Environment and Configuration Manager Reference Data Surveyor ITDB implementation TOP-DOWN FUNCTIONAL VERIFICATION FLOW Design partitioning and simulation planning Concept validation AMS/Simulink co-simulation AMS functional verification Signoff functional verification IDDQ simulation EM IR drop analysis with DSPF stitching AMS IP BLOCK CREATION AND REUSE FLOW Constraint-driven analog block creation Analog block design simulation Analog block design optimization Interactive assisted analog layout Electrical yield optimization Layout yield optimization with Virtuoso Layout Optimizer Digital block implementation AMS IP EXPORT AND INTEGRATION FLOW Analog IP characterization, front end Analog IP characterization, back end IP import feasibility study using MTS Figure 8: AMS top-down physical design IP Import using Vulcan methodology IP import for Virtuoso methodology 5

6 Virtuoso integration of digital IP Digital IP characterization IP packaging for publishing and reuse TOP-DOWN PHYSICAL DESIGN FLOW Hierarchical floorplanning Top-level assembly with Virtuoso Chip Assembly Router Top-level assembly with Virtuoso Space-Based Router Chip finishing Physical verification Assura DRC Physical verification with Assura LVS Parasitic extraction with Assura RCX PRODUCT INTEGRATION Virtuoso Multi-Mode Simulation Virtuoso Spectre Circuit Simulator Virtuoso AMS Designer Simulator Virtuoso UltraSim Full-Chip Simulator Virtuoso Analog Design Environment (ADE) Virtuoso Schematic Editor Virtuoso Layout Suite Virtuoso Layout Migrate Virtuoso Analog VoltageStorm Option Virtuoso Analog ElectronStorm Option Assura Design Rule Checker (DRC) Assura Layout vs. Schematic (LVS) Verifier Assura Parasitic Extraction (RCX) SoC Encounter RTL-to-GDSII System For more information contact Cadence sales at: or log on to: / contact_us 2009 Cadence Design Systems, Inc. All rights reserved. Cadence, the Cadence logo, Assura, Encounter, Spectre, Verilog, and Virtuoso are registered trademarks and SoC Encounter is a trademark of Cadence Design Systems, Inc. All others are properties of their respective holders /09 MK/MVC/DM/PDF

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Cadence Rapid Adoption Kits

Cadence Rapid Adoption Kits Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput Tom Beckley, Senior VP of R&D, Custom IC and Simulation Analog Semiconductor Leaders' Forum Seoul, Korea October

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

AMchip architecture & design

AMchip architecture & design Sezione di Milano AMchip architecture & design Alberto Stabile - INFN Milano AMchip theoretical principle Associative Memory chip: AMchip Dedicated VLSI device - maximum parallelism Each pattern with private

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

ASIC, Customer-Owned Tooling, and Processor Design

ASIC, Customer-Owned Tooling, and Processor Design ASIC, Customer-Owned Tooling, and Processor Design Design Style Myths That Lead EDA Astray Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths COT is a design style that

More information

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit Cadence/EURORPACTICE 2011/2012 Release IC Package Encryption Cadence Advanced Encryption Standard-64bit ALTOS 3.1 ALTOS 3.1 Liberate Server Liberate Client ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Assura(TM) Design

More information

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies Introduction David Kaplan (Cadence Design Systems, Inc.) Sini Mukundan (National Semiconductor, Inc.) OpenAccess plays

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

Cadence Design Systems

Cadence Design Systems Cadence Design Systems Analog Mixed-Signal Foundation Flow (AMSFF) Cadence 45nm Generic Standard Cells User Guide 2014 April Cadence Design Systems Page 1 of 32 CONFIDENTIAL NOTICE This document contains

More information

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Virtuoso System Design Platform Unified system-aware platform for IC and package design Unified system-aware platform for IC and package design The Cadence Virtuoso System Design Platform is a holistic, system-based solution that provides the functionality to drive simulation and LVS-clean

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering,

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering, Welcome JAN 2009 Agenda Presentation Team: Pascal Bolzhauser, Key Developer, pascal@concept.de Lothar Linhard, VP Engineering, lothar427@concept.de Agenda: Company Overview Products: GateVision RTLVision

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Release CTOS 14.2 Description Assura(TM) Design Rule Checker Assura(TM) Layout Vs. Schematic Verifier Assura(TM) Multiprocessor Option CCD Multi-Constraint Check Option Encounter (R) Conformal Constraint

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元

Cell-Based IC Physical Design & Verification SOC Encounter. Advisor : 李昆忠 Presenter : 蕭智元 Cell-Based IC Physical Design & Verification SOC Encounter Advisor : 李昆忠 Presenter : 蕭智元 Reference: SOC Encounter Training Manual, 2007, edited by CIC. Introduction We ll use some EDA tools to transform

More information

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks,

More information

Joe Civello ADS Product Manager/ Keysight EEsof EDA

Joe Civello ADS Product Manager/ Keysight EEsof EDA Joe Civello 2018.01.11 ADS Product Manager/ Keysight EEsof EDA 3D Layout Viewing directly from the Layout Window 3D Editing & Routing PCB & IC/Module Design Dramatically Improved Visual Inspection Simplified

More information

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL DATASHEET The Cadence FPGA addresses the challenges that engineers encounter when designing one or more large-pin-count FPGAs on the PCB board which includes creating the initial pin assignment, integrating

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

ECE260B CSE241A Winter Tapeout. Website:

ECE260B CSE241A Winter Tapeout. Website: ECE260B CSE241A Winter 2007 Tapeout Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Tapeout 1 Tapeout definition What is the definition of the tapeout? There is no standard definition

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2015 Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

Physical Placement with Cadence SoCEncounter 7.1

Physical Placement with Cadence SoCEncounter 7.1 Physical Placement with Cadence SoCEncounter 7.1 Joachim Rodrigues Department of Electrical and Information Technology Lund University Lund, Sweden November 2008 Address for correspondence: Joachim Rodrigues

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Virtuoso Characterization

Virtuoso Characterization A complete solution for fast and accurate characterization and validation The Cadence Virtuoso Characterization Suite delivers the industry s most comprehensive and robust solution for the characterization

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies O N C A D E N C E V I R T U O S O CHEN, Jason 2018.05.08 Application Engineer, Keysight Technologies Introduction to Momentum Momentum Features for RFIC Design Circuit/EM Cosimulation Flow on Cadence Virtuoso

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink Arun Mulpur, Ph.D., MBA Industry Group Manager Communications, Electronics, Semiconductors, Software, Internet Energy Production, Medical

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

Comprehensive design and verification with the industry s leading simulators

Comprehensive design and verification with the industry s leading simulators Comprehensive design and verification with the industry s leading simulators Cadence Virtuoso Multi-Mode Simulation combines industry-leading simulation engines to deliver a complete design and verification

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Cadence IC Design Manual

Cadence IC Design Manual Cadence IC Design Manual For EE5518 ZHENG Huan Qun Lin Long Yang Revised on May 2017 Department of Electrical & Computer Engineering National University of Singapore 1 P age Contents 1 INTRODUCTION...

More information

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation

Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation Silicon Creations and Calibre Ensuring Silicon Results will Match Circuit Simulation Andrew Cole VP, Silicon Creations Chris Clee Product Marketing Manager, Calibre Parasitic Extraction Products Agenda:

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Mixed-Signal Design Trends and Challenges

Mixed-Signal Design Trends and Challenges CHAPTER 1 Mixed-Signal Design Trends and Challenges Mladen Nizic Introduction What is mixed-signal design? There may be as many different answers as people asked. Most would agree that mixed-signal is

More information

EECS 627, Lab Assignment 2

EECS 627, Lab Assignment 2 EECS 627, Lab Assignment 2 1 Introduction In this lab assignment, you will extend the process of designing your multiplier chip. You will add two more blocks (a pseudo-random test pattern generator and

More information

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. RTL2GDS Low Power Convergence for Chip-Package-System Designs Aveek Sarkar VP, Technology Evangelism, ANSYS Inc. Electronics Design Complexities Antenna Design and Placement Chip Low Power and Thermal

More information

AMIS CDNLive Paper: A DFII Based Place and Route Interface

AMIS CDNLive Paper: A DFII Based Place and Route Interface Table of Contents Chapter 1.0 Overview Chapter 2.0 Implementation Chapter 3.0 Digital Integration Form Chapter 4.0 To P&R Tab Chapter 5.0 From P&R Tab Chapter 6.0 Summary 1/17 Introduction Chapter 1: Overview

More information

Overview of Digital Design Methodologies

Overview of Digital Design Methodologies Overview of Digital Design Methodologies ELEC 5402 Pavan Gunupudi Dept. of Electronics, Carleton University January 5, 2012 1 / 13 Introduction 2 / 13 Introduction Driving Areas: Smart phones, mobile devices,

More information

UCLA 3D research started in 2002 under DARPA with CFDRC

UCLA 3D research started in 2002 under DARPA with CFDRC Coping with Vertical Interconnect Bottleneck Jason Cong UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/ cs edu/~cong Outline Lessons learned Research challenges and opportunities

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

The IIT standard cell library Version 2.1

The IIT standard cell library Version 2.1 The IIT standard cell library Version 2.1 Highlights - Support for AMI 0.35um library, including pads - Added Primetime and Pathmill support to IIT ASIC Flow - Support for stacked vias (for Virtuoso and

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

DESIGN STRATEGIES & TOOLS UTILIZED

DESIGN STRATEGIES & TOOLS UTILIZED CHAPTER 7 DESIGN STRATEGIES & TOOLS UTILIZED 7-1. Field Programmable Gate Array The internal architecture of an FPGA consist of several uncommitted logic blocks in which the design is to be encoded. The

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

Realize Your Product Promise. DesignerRF

Realize Your Product Promise. DesignerRF Realize Your Product Promise DesignerRF Four-element antenna array showing current distribution and far-field gain, created in DesignerRF using layout editor and solved via HFSS with Solver on Demand technology

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

The SOCks Design Platform. Johannes Grad

The SOCks Design Platform. Johannes Grad The SOCks Design Platform Johannes Grad System-on-Chip (SoC) Design Combines all elements of a computer onto a single chip Microprocessor Memory Address- and Databus Periphery Application specific logic

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

ANALOG MICROELECTRONICS ( A)

ANALOG MICROELECTRONICS ( A) ANALOG MICROELECTRONICS (304-534A) IBM 130 nm CMOS Technology An Introduction to Cadence Virtuoso Layout Tool and the Analog Simulation Environment Prepared By - Azhar A. Chowdhury Updated by Ming Yang

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

Technologies and Tools for µe design

Technologies and Tools for µe design Technologies and Tools for µe design What can CERN offer -PH-ESE Outline Technologies and Tools Status ASIC Testing infrastructure Packaging common needs 2 1 - ASIC Technologies CMOS 130 and 90 nm RF technologies,

More information

AccuCore STA DSPF Backannotation Timing Verification Design Flow

AccuCore STA DSPF Backannotation Timing Verification Design Flow Application Note AccuCore STA DSPF Backannotation Timing Verification Design Flow Abstract This application note highlights when and why DSPF backannotation is needed during timing verification, and details

More information

Virtuoso - Enabled EPDA framework AIM SUNY Process

Virtuoso - Enabled EPDA framework AIM SUNY Process Virtuoso - Enabled EPDA framework AIM SUNY Process CADENCE, LUMERICAL, PHOENIX SOFTWARE Driven by our customers Cadence is the leader with Virtuoso custom design platform for electronics custom and mixed

More information

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design, Simulation and Layout of Digital Circuits using Hardware Description Languages Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper Quality Assured SoC Design Using Crossfire A Fractal whitepaper Introduction There is no industry where the need for early bug-detection is more paramount than in SoC design. Consequences like design-re-spins

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015 Cadence SystemC Design and Verification NMI FPGA Network Meeting Jan 21, 2015 The High Level Synthesis Opportunity Raising Abstraction Improves Design & Verification Optimizes Power, Area and Timing for

More information