Clock Speed Optimization of Runtime Reconfigurable Systems by Signal Latency Measurement

Size: px
Start display at page:

Download "Clock Speed Optimization of Runtime Reconfigurable Systems by Signal Latency Measurement"

Transcription

1 Department of Electrical Engineering Computer Engineering Helmut Schmidt University, Hamburg University of the Federal Armed Forces of Germany Meyer, Haase, Eckert, Klauer Clock Speed Optimization of Runtime Reconfigurable Systems by Signal Latency Measurement Department of Electrical Engineering Computer Engineering Helmut Schmidt University, Hamburg University of the Federal Armed Forces of Germany Meyer, Haase, Eckert, Klauer Clock Speed Optimization of Runtime Reconfigurable Systems by Signal Latency Measurement Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 0 / 15

2 Table of Contents Table of Contents 1 Motivation Approach Experiments 4 Conclusion 1 Motivation Approach Experiments 4 Conclusion Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 0 / 15

3 Motivation Partial Runtime Reconfigurable Systems Motivation Partial Runtime Reconfigurable Systems RM0.bit RM0.bit RM01.bit FPGA RM00.bit RM0 static logic RM0.bit RM1 RM1.bit RM1.bit RM11.bit RM10.bit RM0.bit RM01.bit RM00.bit RM0 FPGA Figure: Example partitioning of an FPGA for use with the Xilinx PR design flow[4] static logic Reconfiguration of parts of an FPGA while other parts are still active. RM1 RM1.bit RM1.bit RM11.bit RM10.bit What are PRRS? in normal RS, FPGA is changed in a whole PRRS use different design flow to support... explain figure in this case Partial Reconfiguration from Xilinx Figure: Example partitioning of an FPGA for use with the Xilinx PR design flow[4] Reconfiguration of parts of an FPGA while other parts are still active. explain figure available on modern FPGAs including Xilinx Virtex, Artix, Kintex Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 1 / 15

4 Motivation Example System FPGA - reconfiguration plattform reconfiguration Module Uplink Ethernet/ Uart Motivation Example System FPGA - reconfiguration plattform Uplink Ethernet/ Uart ICAP reconfiguration Module ICAP IOB IOB Downlink Ethernet/ Uart IOB Figure: Multicore Reconfiguration Platform[] IOB Example Multicore Reconfiguration Platform describe figure ceb just rm Downlink Ethernet/ Uart NoC - circuit switched Runtime adaptive multiprocessor system-on-chip (RampSoC) next Figure: Multicore Reconfiguration Platform[] Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 / 15

5 Motivation RampSoC FPGA Motivation RampSoC FPGA (Type 1) (Type 1) (Type 1) (Type 1) (Type 1) (Type 1) (Type ) (Type 1) Figure: Runtime adaptive multiprocessor system-on-chip (RampSoC)[1] (Type 1) (Type 1) another example system processors and accelerators reconfigurable (Type ) (Type 1) different NoCs, eg. Bus - circuit switched Figure: Runtime adaptive multiprocessor system-on-chip (RampSoC)[1] Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 / 15

6 Motivation General Motivation General Partial Runtime Reconfigurable System (PRRS) consist of: a static part some partial reconfigurable parts some Network On Chips (NOCs) Partial Runtime Reconfigurable System (PRRS) consist of: a static part some partial reconfigurable parts some Network On Chips (NOCs) in general PRRS consist of... Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 4 / 15

7 Motivation Problem Motivation Problem Design User Constraints Size: 80% of FPGA Synthesis Placement Routing Optimize for Speed Design Size: 80% of FPGA User Constraints Optimize for Speed Problem is now... LongTime not routable Synthesis Placement Routing feed in design, in our cases 80% of fpga area constraints for speed optimization (static part, end reconfigurable) output: long time for design flow or no result at all LongTime not routable Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 5 / 15

8 Approach Main Idea Reduce routing times through relaxed user constraints measure signal latencies after configuration place components according to their clock speed requirements FPGA static I/O extern Approach Main Idea Reduce routing times through relaxed user constraints measure signal latencies after configuration place components according to their clock speed requirements RO Component ReRouter Component RM RM FPGA Clk 0 Clk 1 Clk Clk 0 Clk 1 Clk static I/O extern speed up design flow, reduce constraint for reconfigurable part RO Component ReRouter Component obvious: parts of design do not meet required clock speed RM RM not always necessary: components have different requirements place according to or set clock speed according to requirement Clk 0 Clk 1 Clk Clk 0 Clk 1 Clk one component measures, the other reroutes paths simplified image Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 6 / 15

9 Approach Signal Latency Measurement Approach Signal Latency Measurement adapted Ring Oscillator (RO) approach of Ruffoni and Bogliolo[] RO generates frequency through a path connected as a ring period of the RO is twice the propagation delay of its ring adding a path to the ring extends the propagation delay of the ring T1 = T0 + d propagation delay of added path: dp = (T1 T0) 1 adapted an approch of Ruffoni, used RO to measure path delays explain in short adapted Ring Oscillator (RO) approach of Ruffoni and Bogliolo[] RO generates frequency through a path connected as a ring period of the RO is twice the propagation delay of its ring adding a path to the ring extends the propagation delay of the ring T 1 = T 0 + d propagation delay of added path: d p = (T 1 T 0 ) 1 period is twice the propagation delay Therefore: a path can be added to loop/ring measure two periods d p = (T 1 T 0 ) 1 Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 7 / 15

10 Approach Measurement Components Measurement Component RO + multiplexer multiplexer adds the measurement path to RO ring counts RO ticks + counts ticks of a 50Mhz clock period in ns: ReRouter Component connects the input to the output 1 T = 1000 #(RO ticks) #(f[mhz] ticks) f[mhz] adaption: component with a RO and a multiplexer multiplexer can add a path to RO loop two counters: RO ticks, ticks of 50Mhz clock calculate period for both periods, base and extended path Approach Measurement Components Measurement Component RO + multiplexer multiplexer adds the measurement path to RO ring counts RO ticks + counts ticks of a 50Mhz clock period in ns: ReRouter Component connects the input to the output 1 T = 1000 #(RO ticks) #(f[mhz] ticks) f[mhz] rerouter simple Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 8 / 15

11 FPGA - reconfiguration plattform reconfiguration Module ICAP IOB IOB Uplink Ethernet/ Uart Downlink Ethernet/ Uart Experiments Measurement Setup Experiments Measurement Setup Path1 δ( Path1) =,6ns Path δ( Path) = 5,61ns Path δ( Path) = 5,7ns Path δ( Path) = 8,5ns Path δ( Path) = 8,4ns M Component for latency measurement RR ReRouter routes signals back used MRP as measurement environment because of structure FPGA - reconfiguration plattform reconfiguration Module ICAP IOB IOB Uplink Ethernet/ Uart Downlink Ethernet/ Uart Path1 δ( Path1) =,6ns Path δ( Path) = 5,61ns Path δ( Path) = 5,7ns Path δ( Path) = 8,5ns Path δ( Path) = 8,4ns if time explain which structure then next part of mrp, switches crossbars, every input to every output next explain confguration of components and measurement all paths M RR Component for latency measurement ReRouter routes signals back Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 9 / 15

12 Experiments Measurement Setup Experiments Measurement Setup CSN 0 CSN 1 CSN CSN Path1 δ( Path1) =,6ns Path δ( Path) = 5,61ns Path δ( Path) = 5,7ns Path δ( Path) = 8,5ns Path δ( Path) = 8,4ns M Component for latency measurement RR ReRouter routes signals back used MRP as measurement environment because of structure if time explain which structure then next CSN 0 CSN 1 CSN CSN Path1 δ( Path1) =,6ns Path δ( Path) = 5,61ns Path δ( Path) = 5,7ns Path δ( Path) = 8,5ns Path δ( Path) = 8,4ns part of mrp, switches crossbars, every input to every output next explain confguration of components and measurement all paths M RR Component for latency measurement ReRouter routes signals back Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 9 / 15

13 Experiments Measurement Setup Experiments Measurement Setup M Path 1 CSN 0 CSN 1 CSN CSN Path1 δ( Path1) =,6ns Path δ( Path) = 5,61ns Path δ( Path) = 5,7ns Path δ( Path) = 8,5ns Path δ( Path) = 8,4ns M Component for latency measurement RR ReRouter routes signals back used MRP as measurement environment because of structure if time explain which structure then next M Path 1 CSN 0 CSN 1 CSN CSN Path1 δ( Path1) =,6ns Path δ( Path) = 5,61ns Path δ( Path) = 5,7ns Path δ( Path) = 8,5ns Path δ( Path) = 8,4ns part of mrp, switches crossbars, every input to every output next explain confguration of components and measurement all paths M RR Component for latency measurement ReRouter routes signals back Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 9 / 15

14 Experiments Measurement Setup Experiments Measurement Setup M0 RR 1 Path Path 1 CSN 0 CSN 1 CSN CSN Path1 δ( Path1) =,6ns Path δ( Path) = 5,61ns Path δ( Path) = 5,7ns Path δ( Path) = 8,5ns Path δ( Path) = 8,4ns M Component for latency measurement RR ReRouter routes signals back used MRP as measurement environment because of structure if time explain which structure then next M0 RR 1 Path Path 1 CSN 0 CSN 1 CSN CSN Path1 δ( Path1) =,6ns Path δ( Path) = 5,61ns Path δ( Path) = 5,7ns Path δ( Path) = 8,5ns Path δ( Path) = 8,4ns part of mrp, switches crossbars, every input to every output next explain confguration of components and measurement all paths M RR Component for latency measurement ReRouter routes signals back Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 9 / 15

15 Experiments Measurement Setup Experiments Measurement Setup M0 RR 1 Path Path 1 CSN 0 CSN 1 Path CSN CSN Path1 δ( Path1) =,6ns Path δ( Path) = 5,61ns Path δ( Path) = 5,7ns Path δ( Path) = 8,5ns Path δ( Path) = 8,4ns RR M Component for latency measurement RR ReRouter routes signals back used MRP as measurement environment because of structure if time explain which structure then next M0 RR 1 Path Path 1 CSN 0 CSN 1 Path CSN CSN Path1 δ( Path1) =,6ns Path δ( Path) = 5,61ns Path δ( Path) = 5,7ns Path δ( Path) = 8,5ns Path δ( Path) = 8,4ns part of mrp, switches crossbars, every input to every output next explain confguration of components and measurement all paths RR M RR Component for latency measurement ReRouter routes signals back Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 9 / 15

16 Experiments Measurement Results Experiments Measurement Results , , Table: Propagation delay matrix for all s Configurable Entity Block RM = reconfigurable module , , explain table, more if time Table: Propagation delay matrix for all s path to and from a component are different paths => different times diagonal represent measurements from component to switch Configurable Entity Block RM = reconfigurable module Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON / 15

17 Experiments Clockrates Experiments Clockrates CSN- Clks (MHz) Clkc (MHz) Clks Clkc Table: Maximum clock speeds within one switch clock using sequential cicuits only clock using combinational circuits CSN- Clk s (MHz) Clk c (MHz) can calculate the maximum clock rate for the network Table: Maximum clock speeds within one switch present values experiments on a xilinx virtex5 fpga most good designs without pr achive 00Mhz Clk s Clk c clock using sequential cicuits only clock using combinational circuits Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON / 15

18 CSN CSN simple Fetch Control ALU Decode CSN 0 CSN RegFile CSN CSN Experiments Example System Experiments Example System Placement of a simple processore core according to the propagation delay matrix. Fetch Ctrl Loads instructions from RAM Control Unit of the processor CSN Fetch Control ALU CSN 0 CSN 1 Placement of a simple processore core according to the propagation delay matrix. RegF RegisterFile Dec Decodes instructions ALU Arithmetical Logical Unit Decode RegFile wanted to know if it is possible to place a complex component simple processor core (Fetch, Decode, Registerfile, Control, ALU) CSN simple CSN CSN Fetch Ctrl Loads instructions from RAM Control Unit of the processor show placement RegF Dec RegisterFile Decodes instructions runs at 5MHz/50MHz ALU Arithmetical Logical Unit no performance measurement because CPU is not optimized for speed components can be placed differently as long requirements meet Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 1 / 15

19 Experiments Floorplan Experiments Floorplan CSN 0 CSN 1 CSN CSN CSN 0 CSN 1 Yellow CSN 0 Red CSN 1 Green CSN lilac CSN light blue used FPGA area CSN CSN if time! explain placement highlight why some path have awkward measurements routing a random process Yellow CSN 0 Red CSN 1 Green CSN lilac CSN light blue used FPGA area Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON015 1 / 15

20 Conclusion Conclusion Presented: method to measure path delays between runtime reconfigurable modules propagation delay matrix for the MRP placement of a small processor core according to this matrix Presented: method to measure path delays between runtime reconfigurable modules propagation delay matrix for the MRP placement of a small processor core according to this matrix presented method to measure path delays in PRRS evaluated through creating propagation delay matrix of MRP and placing a simple processor core according to it thank you very much for your attention. Questions? next Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON / 15

21 Questions Questions Questions? Questions? Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON / 15

22 Bibliography D. Göhringer et al. Runtime adaptive multi-processor system-on-chip: RAMPSoC. In: Parallel and Distributed Processing, 008. IPDPS 008. IEEE International Symposium on. Apr. 008, pp Dominik Meyer. Multicore Reconfiguration Platform - A Research and Evaluation FPGA Framework for Runtime Reconfigurable Systems. PhD thesis. Helmut-Schmidt-University Hamburg, Germany, 015. M. Ruffoni and A. Bogliolo. Direct Measures of Path Delays on Commercial FPGA Chips. In: Signal Propagation on Interconnects, 6th IEEE Workshop on. Proceedings. May 00, pp Xilinx, Inc. Partial Reconfiguration User Guide. manuals/xilinx14_7/ug70.pdf. Apr. 01. Bibliography D. Göhringer et al. Runtime adaptive multi-processor system-on-chip: RAMPSoC. In: Parallel and Distributed Processing, 008. IPDPS 008. IEEE International Symposium on. Apr. 008, pp Dominik Meyer. Multicore Reconfiguration Platform - A Research and Evaluation FPGA Framework for Runtime Reconfigurable Systems. PhD thesis. Helmut-Schmidt-University Hamburg, Germany, 015. M. Ruffoni and A. Bogliolo. Direct Measures of Path Delays on Commercial FPGA Chips. In: Signal Propagation on Interconnects, 6th IEEE Workshop on. Proceedings. May 00, pp Xilinx, Inc. Partial Reconfiguration User Guide. manuals/xilinx14_7/ug70.pdf. Apr. 01. Clock Speed Optimization of Runtime Reconfigurable Systems Meyer, Haase, Eckert, Klauer / IECON / 15

Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration

Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration 123 Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration NAVEEN K H 1, Dr. JAMUNA S 2, BASAVARAJ H 3 1 (PG Scholar, Dept. of Electronics and Communication, Dayananda

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS

DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS Ashutosh Gupta and Kota Solomon Raju Digital System Group, Central Electronics Engineering

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

A Time-Multiplexed FPGA

A Time-Multiplexed FPGA A Time-Multiplexed FPGA Steve Trimberger, Dean Carberry, Anders Johnson, Jennifer Wong Xilinx, nc. 2 100 Logic Drive San Jose, CA 95124 408-559-7778 steve.trimberger @ xilinx.com Abstract This paper describes

More information

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on on-chip Donghyun Kim, Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo Semiconductor System Laboratory, Dept. of EECS, Korea Advanced

More information

Abstract A SCALABLE, PARALLEL, AND RECONFIGURABLE DATAPATH ARCHITECTURE

Abstract A SCALABLE, PARALLEL, AND RECONFIGURABLE DATAPATH ARCHITECTURE A SCALABLE, PARALLEL, AND RECONFIGURABLE DATAPATH ARCHITECTURE Reiner W. Hartenstein, Rainer Kress, Helmut Reinig University of Kaiserslautern Erwin-Schrödinger-Straße, D-67663 Kaiserslautern, Germany

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Introduction to Partial Reconfiguration Methodology

Introduction to Partial Reconfiguration Methodology Methodology This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Define Partial Reconfiguration technology List common applications

More information

Ultra-Fast NoC Emulation on a Single FPGA

Ultra-Fast NoC Emulation on a Single FPGA The 25 th International Conference on Field-Programmable Logic and Applications (FPL 2015) September 3, 2015 Ultra-Fast NoC Emulation on a Single FPGA Thiem Van Chu, Shimpei Sato, and Kenji Kise Tokyo

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES. psa. rom. fpga THE WAY THE MODULES ARE PROGRAMMED NETWORKS OF PROGRAMMABLE MODULES EXAMPLES OF USES Programmable

More information

Design and Implementation of a FPGA-based Pipelined Microcontroller

Design and Implementation of a FPGA-based Pipelined Microcontroller Design and Implementation of a FPGA-based Pipelined Microcontroller Rainer Bermbach, Martin Kupfer University of Applied Sciences Braunschweig / Wolfenbüttel Germany Embedded World 2009, Nürnberg, 03.03.09

More information

Computer Architecture 2/26/01 Lecture #

Computer Architecture 2/26/01 Lecture # Computer Architecture 2/26/01 Lecture #9 16.070 On a previous lecture, we discussed the software development process and in particular, the development of a software architecture Recall the output of the

More information

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline CPE/EE 422/522 Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices Dr. Rhonda Kay Gaede UAH Outline Introduction Field-Programmable Gate Arrays Virtex Virtex-E, Virtex-II, and Virtex-II

More information

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Éricles Sousa 1, Frank Hannig 1, Jürgen Teich 1, Qingqing Chen 2, and Ulf Schlichtmann

More information

FPGA. Agenda 11/05/2016. Scheduling tasks on Reconfigurable FPGA architectures. Definition. Overview. Characteristics of the CLB.

FPGA. Agenda 11/05/2016. Scheduling tasks on Reconfigurable FPGA architectures. Definition. Overview. Characteristics of the CLB. Agenda The topics that will be addressed are: Scheduling tasks on Reconfigurable FPGA architectures Mauro Marinoni ReTiS Lab, TeCIP Institute Scuola superiore Sant Anna - Pisa Overview on basic characteristics

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

FACTFILE: GCE DIGITAL TECHNOLOGY

FACTFILE: GCE DIGITAL TECHNOLOGY FACTFILE: GCE DIGITAL TECHNOLOGY AS2: FUNDAMENTALS OF DIGITAL TECHNOLOGY Hardware and Software Architecture 1 Learning Outcomes Students should be able to: describe the internal components of a computer

More information

A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs

A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs Politecnico di Milano & EPFL A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs Vincenzo Rana, Ivan Beretta, Donatella Sciuto Donatella Sciuto sciuto@elet.polimi.it Introduction

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

Computer and Hardware Architecture I. Benny Thörnberg Associate Professor in Electronics

Computer and Hardware Architecture I. Benny Thörnberg Associate Professor in Electronics Computer and Hardware Architecture I Benny Thörnberg Associate Professor in Electronics Hardware architecture Computer architecture The functionality of a modern computer is so complex that no human can

More information

Chapter 2. FPGA and Dynamic Reconfiguration ...

Chapter 2. FPGA and Dynamic Reconfiguration ... Chapter 2 FPGA and Dynamic Reconfiguration... This chapter will introduce a family of silicon devices, FPGAs exploring their architecture. This work is based on these particular devices. The chapter will

More information

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures Programmable Logic Design Grzegorz Budzyń Lecture 15: Advanced hardware in FPGA structures Plan Introduction PowerPC block RocketIO Introduction Introduction The larger the logical chip, the more additional

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

Note: Closed book no notes or other material allowed, no calculators or other electronic devices.

Note: Closed book no notes or other material allowed, no calculators or other electronic devices. ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Exam Review Note: Closed book no notes or other material allowed, no calculators or other electronic devices. One page

More information

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2 ISSN 2277-2685 IJESR/November 2014/ Vol-4/Issue-11/799-807 Shruti Hathwalia et al./ International Journal of Engineering & Science Research DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL ABSTRACT

More information

Introduction to FPGA Design with Vivado High-Level Synthesis. UG998 (v1.0) July 2, 2013

Introduction to FPGA Design with Vivado High-Level Synthesis. UG998 (v1.0) July 2, 2013 Introduction to FPGA Design with Vivado High-Level Synthesis Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.

More information

Efficient Self-Reconfigurable Implementations Using On-Chip Memory

Efficient Self-Reconfigurable Implementations Using On-Chip Memory 10th International Conference on Field Programmable Logic and Applications, August 2000. Efficient Self-Reconfigurable Implementations Using On-Chip Memory Sameer Wadhwa and Andreas Dandalis University

More information

EECS150 - Digital Design Lecture 09 - Parallelism

EECS150 - Digital Design Lecture 09 - Parallelism EECS150 - Digital Design Lecture 09 - Parallelism Feb 19, 2013 John Wawrzynek Spring 2013 EECS150 - Lec09-parallel Page 1 Parallelism Parallelism is the act of doing more than one thing at a time. Optimization

More information

FPGAhammer: Remote Voltage Fault Attacks on Shared FPGAs, suitable for DFA on AES

FPGAhammer: Remote Voltage Fault Attacks on Shared FPGAs, suitable for DFA on AES , suitable for DFA on AES Jonas Krautter, Dennis R.E. Gnad, Mehdi B. Tahoori 10.09.2018 INSTITUTE OF COMPUTER ENGINEERING CHAIR OF DEPENDABLE NANO COMPUTING KIT Die Forschungsuniversität in der Helmholtz-Gemeinschaft

More information

Jakub Cabal et al. CESNET

Jakub Cabal et al. CESNET CONFIGURABLE FPGA PACKET PARSER FOR TERABIT NETWORKS WITH GUARANTEED WIRE- SPEED THROUGHPUT Jakub Cabal et al. CESNET 2018/02/27 FPGA, Monterey, USA Packet parsing INTRODUCTION It is among basic operations

More information

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage silage@temple.edu ECE Temple University www.temple.edu/scdl Signal Processing Algorithms into Fixed Point FPGA Hardware Motivation

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Chapter 4. MARIE: An Introduction to a Simple Computer. Chapter 4 Objectives. 4.1 Introduction. 4.2 CPU Basics

Chapter 4. MARIE: An Introduction to a Simple Computer. Chapter 4 Objectives. 4.1 Introduction. 4.2 CPU Basics Chapter 4 Objectives Learn the components common to every modern computer system. Chapter 4 MARIE: An Introduction to a Simple Computer Be able to explain how each component contributes to program execution.

More information

Dual Port SRAM Based Microcontroller Chip Test Report

Dual Port SRAM Based Microcontroller Chip Test Report Dual Port SRAM Based Microcontroller Chip Test Report By Sergey Kononov, et al. Chip Description Fig. 1: Chip Layout, Pin Configuration The Chip consists of 3 main components: Dual Port SRAM (DPRAM), test

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes. Topics! SRAM-based FPGA fabrics:! Xilinx.! Altera. SRAM-based FPGAs! Program logic functions, using SRAM.! Advantages:! Re-programmable;! dynamically reconfigurable;! uses standard processes.! isadvantages:!

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko

VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko 136 VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko Abstract: Usage of modeling for construction of laser installations today is actual in connection

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Lo

Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Lo Cost-and Power Optimized FPGA based System Integration: Methodologies and Integration of a Low-Power Capacity- based Measurement Application on Xilinx FPGAs Abstract The application of Field Programmable

More information

Design & Implementation of 64 bit ALU for Instruction Set Architecture & Comparison between Speed/Power Consumption on FPGA.

Design & Implementation of 64 bit ALU for Instruction Set Architecture & Comparison between Speed/Power Consumption on FPGA. Design & Implementation of 64 bit ALU for Instruction Set Architecture & Comparison between Speed/Power Consumption on FPGA 1 Rajeev Kumar Coordinator M.Tech ECE, Deptt of ECE, IITT College, Punjab rajeevpundir@hotmail.com

More information

Introduction to Microprocessor

Introduction to Microprocessor Introduction to Microprocessor Slide 1 Microprocessor A microprocessor is a multipurpose, programmable, clock-driven, register-based electronic device That reads binary instructions from a storage device

More information

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

The University of Reduced Instruction Set Computer (MARC)

The University of Reduced Instruction Set Computer (MARC) The University of Reduced Instruction Set Computer (MARC) Abstract We present our design of a VHDL-based, RISC processor instantiated on an FPGA for use in undergraduate electrical engineering courses

More information

C8051 Legacy-Speed 8-Bit Processor Core

C8051 Legacy-Speed 8-Bit Processor Core C051 Legacy-Speed -Bit Processor Core General Description The C051 processor core is a single-chip, -bit microcontroller that executes all ASM51 instructions and has the same instruction set and timing

More information

EE 8217 *Reconfigurable Computing Systems Engineering* Sample of Final Examination

EE 8217 *Reconfigurable Computing Systems Engineering* Sample of Final Examination 1 Student name: Date: June 26, 2008 General requirements for the exam: 1. This is CLOSED BOOK examination; 2. No questions allowed within the examination period; 3. If something is not clear in question

More information

RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA

RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA 1 HESHAM ALOBAISI, 2 SAIM MOHAMMED, 3 MOHAMMAD AWEDH 1,2,3 Department of Electrical and Computer Engineering, King Abdulaziz University

More information

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor K.Rani Rudramma 1, B.Murali Krihna 2 1 Assosiate Professor,Dept of E.C.E, Lakireddy Bali Reddy Engineering College, Mylavaram

More information

ECE 545 Lecture 12. FPGA Resources. George Mason University

ECE 545 Lecture 12. FPGA Resources. George Mason University ECE 545 Lecture 2 FPGA Resources George Mason University Recommended reading 7 Series FPGAs Configurable Logic Block: User Guide Overview Functional Details 2 What is an FPGA? Configurable Logic Blocks

More information

Design Scaled Arm 7- Soft Core Processor with Communication Techniques With Fpga

Design Scaled Arm 7- Soft Core Processor with Communication Techniques With Fpga The International Journal Of Engineering And Science (IJES) Volume 3 Issue 9 Pages 07-14 2014 ISSN (e): 2319 1813 ISSN (p): 2319 1805 Design Scaled Arm 7- Soft Core Processor with Communication Techniques

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

Mapping a Pipelined Data Path onto a Network-on-Chip

Mapping a Pipelined Data Path onto a Network-on-Chip Mapping a Pipelined Data Path onto a Network-on-Chip Stephan Kubisch, Claas Cornelius, Ronald Hecht, Dirk Timmermann {stephan.kubisch;claas.cornelius}@uni-rostock.de University of Rostock Institute of

More information

Fast dynamic and partial reconfiguration Data Path

Fast dynamic and partial reconfiguration Data Path Fast dynamic and partial reconfiguration Data Path with low Michael Hübner 1, Diana Göhringer 2, Juanjo Noguera 3, Jürgen Becker 1 1 Karlsruhe Institute t of Technology (KIT), Germany 2 Fraunhofer IOSB,

More information

The Xilinx XC6200 chip, the software tools and the board development tools

The Xilinx XC6200 chip, the software tools and the board development tools The Xilinx XC6200 chip, the software tools and the board development tools What is an FPGA? Field Programmable Gate Array Fully programmable alternative to a customized chip Used to implement functions

More information

FPGA Implementation of MIPS RISC Processor

FPGA Implementation of MIPS RISC Processor FPGA Implementation of MIPS RISC Processor S. Suresh 1 and R. Ganesh 2 1 CVR College of Engineering/PG Student, Hyderabad, India 2 CVR College of Engineering/ECE Department, Hyderabad, India Abstract The

More information

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics Computer and Hardware Architecture II Benny Thörnberg Associate Professor in Electronics Parallelism Microscopic vs Macroscopic Microscopic parallelism hardware solutions inside system components providing

More information

Multicycle-Path Challenges in Multi-Synchronous Systems

Multicycle-Path Challenges in Multi-Synchronous Systems Multicycle-Path Challenges in Multi-Synchronous Systems G. Engel 1, J. Ziebold 1, J. Cox 2, T. Chaney 2, M. Burke 2, and Mike Gulotta 3 1 Department of Electrical and Computer Engineering, IC Design Research

More information

Department of Industrial Engineering. Sharif University of Technology. Operational and enterprises systems. Exciting directions in systems

Department of Industrial Engineering. Sharif University of Technology. Operational and enterprises systems. Exciting directions in systems Department of Industrial Engineering Sharif University of Technology Session# 3 Contents: The role of managers in Information Technology (IT) Organizational Issues Information Technology Operational and

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

Design Space Exploration for Memory Subsystems of VLIW Architectures

Design Space Exploration for Memory Subsystems of VLIW Architectures E University of Paderborn Dr.-Ing. Mario Porrmann Design Space Exploration for Memory Subsystems of VLIW Architectures Thorsten Jungeblut 1, Gregor Sievers, Mario Porrmann 1, Ulrich Rückert 2 1 System

More information

DESIGN AND IMPLEMENTATION OF APPLICATION SPECIFIC 32-BITALU USING XILINX FPGA

DESIGN AND IMPLEMENTATION OF APPLICATION SPECIFIC 32-BITALU USING XILINX FPGA DESIGN AND IMPLEMENTATION OF APPLICATION SPECIFIC 32-BITALU USING XILINX FPGA T.MALLIKARJUNA 1 *,K.SREENIVASA RAO 2 1 PG Scholar, Annamacharya Institute of Technology & Sciences, Rajampet, A.P, India.

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Introduction Technology Equipment Performance Current developments Conclusions. White Rabbit. A quick introduction. Javier Serrano

Introduction Technology Equipment Performance Current developments Conclusions. White Rabbit. A quick introduction. Javier Serrano White Rabbit A quick introduction Javier Serrano CERN BE-CO Hardware and Timing section ICALEPCS pre-conference workshop Barcelona, 7 October 2017 Javier Serrano Introduction to White Rabbit 1/29 Outline

More information

Two hours - online EXAM PAPER MUST NOT BE REMOVED FROM THE EXAM ROOM UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE

Two hours - online EXAM PAPER MUST NOT BE REMOVED FROM THE EXAM ROOM UNIVERSITY OF MANCHESTER SCHOOL OF COMPUTER SCIENCE COMP 12111 Two hours - online This paper version is made available as a backup In this event, only MCQ answers written in the boxes on the exam paper will be marked. EXAM PAPER MUST NOT BE REMOVED FROM

More information

Computer Architecture and Organization:

Computer Architecture and Organization: Computer Architecture and Organization: Introductory lecture By: A. H. Abdul Hafez abdul.hafez@hku.edu.tr, ah.abdulhafez@gmail.com 1 Outlines 1. What is computer? 2. What is this course looking at? Computer

More information

Fast, Accurate and Detailed NoC Simulations

Fast, Accurate and Detailed NoC Simulations Fast, Accurate and Detailed NoC Simulations Pascal T. Wolkotte and Philip K.F. Hölzenspies and Gerard J.M. Smit University of Twente, Department of EEMCS P.O. Box 217, 75 AE Enschede, The Netherlands P.T.Wolkotte@utwente.nl

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a complex design in software Software vs. Hardware Trade-offs Improve Performance Improve Energy Efficiency

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

Operating System Approaches for Dynamically Reconfigurable Hardware

Operating System Approaches for Dynamically Reconfigurable Hardware Operating System Approaches for Dynamically Reconfigurable Hardware Marco Platzner Computer Engineering Group University of Paderborn platzner@upb.de Outline operating systems for reconfigurable hardware

More information

Digital Design with FPGAs. By Neeraj Kulkarni

Digital Design with FPGAs. By Neeraj Kulkarni Digital Design with FPGAs By Neeraj Kulkarni Some Basic Electronics Basic Elements: Gates: And, Or, Nor, Nand, Xor.. Memory elements: Flip Flops, Registers.. Techniques to design a circuit using basic

More information

Exploiting Dynamically Changing Parallelism with a Reconfigurable Array of Homogeneous Sub-cores (a.k.a. Field Programmable Core Array or FPCA)

Exploiting Dynamically Changing Parallelism with a Reconfigurable Array of Homogeneous Sub-cores (a.k.a. Field Programmable Core Array or FPCA) Exploiting Dynamically Changing Parallelism with a Reconfigurable Array of Homogeneous Sub-cores (a.k.a. Field Programmable Core Array or FPCA) Sponsored by SRC and NSF as a Part of Multicore Chip Design

More information

Virtex-II Architecture

Virtex-II Architecture Virtex-II Architecture Block SelectRAM resource I/O Blocks (IOBs) edicated multipliers Programmable interconnect Configurable Logic Blocks (CLBs) Virtex -II architecture s core voltage operates at 1.5V

More information

MICROCONTROLLERS 8051

MICROCONTROLLERS 8051 MICROCONTROLLERS 8051 PART A Unit 1: Microprocessor and Microcontroller. Introduction, Microprocessor and Microcontrollers, A Microcontroller survey. RISC & CISC CPU Architectures, Harvard & Von Neumann

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

Knowledge Organiser. Computing. Year 10 Term 1 Hardware

Knowledge Organiser. Computing. Year 10 Term 1 Hardware Organiser Computing Year 10 Term 1 Hardware Enquiry Question How does a computer do everything it does? Big questions that will help you answer this enquiry question: 1. What is the purpose of the CPU?

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

ECE1387 Exercise 3: Using the LegUp High-level Synthesis Framework

ECE1387 Exercise 3: Using the LegUp High-level Synthesis Framework ECE1387 Exercise 3: Using the LegUp High-level Synthesis Framework 1 Introduction and Motivation This lab will give you an overview of how to use the LegUp high-level synthesis framework. In LegUp, you

More information

The CPU Design Kit: An Instructional Prototyping Platform. for Teaching Processor Design. Anujan Varma, Lampros Kalampoukas

The CPU Design Kit: An Instructional Prototyping Platform. for Teaching Processor Design. Anujan Varma, Lampros Kalampoukas The CPU Design Kit: An Instructional Prototyping Platform for Teaching Processor Design Anujan Varma, Lampros Kalampoukas Dimitrios Stiliadis, and Quinn Jacobson Computer Engineering Department University

More information

A Building Block 3D System with Inductive-Coupling Through Chip Interfaces Hiroki Matsutani Keio University, Japan

A Building Block 3D System with Inductive-Coupling Through Chip Interfaces Hiroki Matsutani Keio University, Japan A Building Block 3D System with Inductive-Coupling Through Chip Interfaces Hiroki Matsutani Keio University, Japan 1 Outline: 3D Wireless NoC Designs This part also explores 3D NoC architecture with inductive-coupling

More information

A Prototype Multithreaded Associative SIMD Processor

A Prototype Multithreaded Associative SIMD Processor A Prototype Multithreaded Associative SIMD Processor Kevin Schaffer and Robert A. Walker Department of Computer Science Kent State University Kent, Ohio 44242 {kschaffe, walker}@cs.kent.edu Abstract The

More information

Finite State Machines (FSMs) and RAMs and CPUs. COS 116, Spring 2011 Sanjeev Arora

Finite State Machines (FSMs) and RAMs and CPUs. COS 116, Spring 2011 Sanjeev Arora Finite State Machines (FSMs) and RAMs and CPUs COS 116, Spring 2011 Sanjeev Arora Recap Combinational logic circuits: no cycles, hence no memory Sequential circuits: cycles allowed; can have memory as

More information

History and Basic Processor Architecture

History and Basic Processor Architecture History and Basic Processor Architecture History of Computers Module 1 Section 1 What Is a Computer? An electronic machine, operating under the control of instructions stored in its own memory, that can

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

Chapter 5 Embedded Soft Core Processors

Chapter 5 Embedded Soft Core Processors Embedded Soft Core Processors Coarse Grained Architecture. The programmable gate array (PGA) has provided the opportunity for the design and implementation of a soft core processor in embedded design.

More information

EECS150 - Digital Design Lecture 13 - Accelerators. Recap and Outline

EECS150 - Digital Design Lecture 13 - Accelerators. Recap and Outline EECS150 - Digital Design Lecture 13 - Accelerators Oct. 10, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Lecture 7: Introduction to Co-synthesis Algorithms

Lecture 7: Introduction to Co-synthesis Algorithms Design & Co-design of Embedded Systems Lecture 7: Introduction to Co-synthesis Algorithms Sharif University of Technology Computer Engineering Dept. Winter-Spring 2008 Mehdi Modarressi Topics for today

More information

Integrating MRPSOC with multigrain parallelism for improvement of performance

Integrating MRPSOC with multigrain parallelism for improvement of performance Integrating MRPSOC with multigrain parallelism for improvement of performance 1 Swathi S T, 2 Kavitha V 1 PG Student [VLSI], Dept. of ECE, CMRIT, Bangalore, Karnataka, India 2 Ph.D Scholar, Jain University,

More information

Lecture Topics. Announcements. Today: Single-Cycle Processors (P&H ) Next: continued. Milestone #3 (due 2/9) Milestone #4 (due 2/23)

Lecture Topics. Announcements. Today: Single-Cycle Processors (P&H ) Next: continued. Milestone #3 (due 2/9) Milestone #4 (due 2/23) Lecture Topics Today: Single-Cycle Processors (P&H 4.1-4.4) Next: continued 1 Announcements Milestone #3 (due 2/9) Milestone #4 (due 2/23) Exam #1 (Wednesday, 2/15) 2 1 Exam #1 Wednesday, 2/15 (3:00-4:20

More information

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture 1 Physical Implementation of the DSPI etwork-on-chip in the FAUST Architecture Ivan Miro-Panades 1,2,3, Fabien Clermidy 3, Pascal Vivet 3, Alain Greiner 1 1 The University of Pierre et Marie Curie, Paris,

More information

EECS150 - Digital Design Lecture 16 Memory 1

EECS150 - Digital Design Lecture 16 Memory 1 EECS150 - Digital Design Lecture 16 Memory 1 March 13, 2003 John Wawrzynek Spring 2003 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: Whenever a large collection of state elements is required. data &

More information

Mobile Robot Path Planning Software and Hardware Implementations

Mobile Robot Path Planning Software and Hardware Implementations Mobile Robot Path Planning Software and Hardware Implementations Lucia Vacariu, Flaviu Roman, Mihai Timar, Tudor Stanciu, Radu Banabic, Octavian Cret Computer Science Department, Technical University of

More information

Measuring and Evaluating the Power Consumption and Performance Enhancement on Embedded Multiprocessor Architectures

Measuring and Evaluating the Power Consumption and Performance Enhancement on Embedded Multiprocessor Architectures Measuring and Evaluating the Power Consumption and Performance Enhancement on Embedded Multiprocessor Architectures Éricles Rodrigues Sousa and Luís Geraldo Pedroso Meloni School of Electrical and Computer

More information

Multi processor systems with configurable hardware acceleration

Multi processor systems with configurable hardware acceleration Multi processor systems with configurable hardware acceleration Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline Motivations

More information

International Journal of Informative & Futuristic Research ISSN (Online):

International Journal of Informative & Futuristic Research ISSN (Online): Research Paper Volume 2 Issue 6 February 2015 International Journal of Informative & Futuristic Research ISSN (Online): 2347-1697 Implementation Of Microcontroller On FPGA Paper ID IJIFR/ V2/ E6/ 018 Page

More information