Cadence Rapid Adoption Kits

Size: px
Start display at page:

Download "Cadence Rapid Adoption Kits"

Transcription

1 Cadence Rapid Adoption Kits Rapid Adoption Kits demonstrate how users can use their tools in their flows to improve productivity and to maximize the benefits of their tools. These packages can contain workshop databases or demo designs, instructional documents, overview presentations, deeper dive Application Notes and videos. How to access the Rapid Adoption Kits? To get started with the Rapid Adoption Kits, use the following link: Please note that a support.cadence.com account is required to access this content. There you will find all the related material, including documentation, videos and project files. The Rapid Adoption Kits are split into three sections: Silicon-Package-Board Design These Rapid Adoption Kits are related to the Silicon-Package-Board Design using Allegro tools. Virtuoso Custom IC and Sign-off Flow These Rapid Adoption Kits are related to the Virtuoso Custom IC and Sign-off Flow using Virtuoso Schematic Editor (VSE), Multi-Mode Simulation (MMSIM), Virtuoso Layout Suite (VLS), Physical Verification System (PVS) and other Virtuoso products. Encounter Digital Implementation (EDI) System and Sign-off Flow These Rapid Adoption Kits are related to the Encounter Digital Implementation (EDI) System and Signoff Flow using Encounter Digital Implementation (EDI) System, Encounter Timing System (ETS) and Encounter Power System (EPS). Synthesis, Test and Verification flow These Rapid Adoption Kits are related to the Synthesis, Test and Verification flow using RTL Compiler, Encounter Test, Conformal, and Incisive products. You can find more information about the available Rapid Adoption Kits below on this document, or on the landing page. Last updated: 30/04/2013

2 Silicon-Package-Board Design These Rapid Adoption Kits are related to the Silicon-Package-Board Design using Allegro tools. PCIe Design-In-Kit: Lite This kit in intended to help start with high speed SerDes Channel analysis using Allegro PCB SI. The lite kit also provides an introduction to the Back Channel Analysis on PCIe 3.0 technology. This kit includes the ami models for PCIe 3.0 transmitter and receiver. DDR Kit: Lite DDR3 Design-In Kit (lite version) DDR3 SDRAM (double-data-rate) is a type of dynamic random access memory with a high bandwidth interface. DDR3 is not directly compatible with any of the earlier types, DDR or DDR2. This is due to different signaling voltages. This Kit describes the various aspects of DDR3 Design with Allegro. Tips and Tricks - DEHDL and Associated Utilities Special Edition This workshop helps you exercise a wide variety of DE-HDL commands, some of which may be already known but may not! It includes tips & shortcuts that can help you become more productive while saving you several thousand mouse clicks on an annual basis.

3 Virtuoso Custom IC and Sign-off Flow These Rapid Adoption Kits are related to the Virtuoso Custom IC and Sign-off Flow using Virtuoso Schematic Editor (VSE), Multi-Mode Simulation (MMSIM), Virtuoso Layout Suite (VLS), Physical Verification System (PVS) and other Virtuoso products. Layout Design in IC Faster, more accurate physical design using Virtuoso Schematic Editor and Virtuoso Layout Suite This material highlights new features and explores some basic functionality with Virtuoso Schematic Editor L/XL and Virtuoso Layout Suite L/XL in the release. You will take a design from concept through implementation and learn how Virtuoso capabilities can help you generate designs more efficiently. Increase designer productivity by leveraging connectivity throughout the design process Use ties between schematic capture and layout, commonly referred to as connectivity, to generate designs rapidly without sacrificing quality or performance IC Constraint Driven Custom Design Optimized exchange between front-end and back-end designers for better layout productivity The constraint-driven flow enables you to capture and transfer design requirements formally through the Constraint Management System, and then use automatic and interactive tools to enforce the requirements in the layout to ensure convergence on design goals. Constraints can be verified between front and back to ensure that the layout is using them as intended and that they have been implemented correctly. Finally, the system also enables storing and reusing of constraints across designs or projects. Use the Constraint Management System Use the Circuit Prospector for assisted constraint capture Verify constraints to ensure that design intent is met in layout Use the module generation (MODGEN) capability for precision Pcell-based array generation Perform constraint-driven wire editing Perform constraint-aware editing Perform special net automated routing (differential pair, shielding, etc.) Perform full custom/analog placement

4 Virtuoso Visualization & Analysis (ViVA) Analog/mixed-signal waveform viewing and analysis The Virtuoso Visualization and Analysis tool is an analog/mixed-signal waveform viewer providing the means to thoroughly analyze the data generated by circuit simulation. Learn how to use it either as a standalone tool or as an integrated part of the Virtuoso Analog Design Environment (L and XL). View, configure, and export design data in a variety of formats quickly and easily Interactively analyze and annotate waveform data for design documentation Create and evaluate complex mathematical expressions, and save them for later reuse How to efficiently handle gigabyte transient data files IC6.1 Front to Back Overview Complete front to back design flow in IC615 This material highlights the complete front to back design flow available in the IC615 release framing these capabilities in terms of designer productivity and results from the Metrics-driven Productivity Initiative (MPI). Design creation and constraint capture in the Virtuoso Schematic Editor Design analysis and verification with the Analog Design Environment Constraints Virtuoso environment Buses Constraint aware editing Fluid guardring Virtuoso Spaced-based Router & interactive routing Guidelines on modeling analog circuits with WREAL This material illustrates wreal modeling concepts by migrating a Verilog-A based model of an AM modulation-demodulation system to a wreal model with Verilog-AMS. The wreal equivalent of each block will be created to build up an all digital simulation for system. During the course of the example, guideline steps for creating wreal Verilog-AMS models will be developed and used for developing the wreal models The role of connect modules in a mixed-signal wreal simulation will be investigated The effects of sampling frequency will also be discussed, and the bilinear transform will be used to create a discrete time low pass filter

5 Introduction to AMS Designer Simulation This material uses a simple database consisting of an inverter chain to show the setup and use of AMS Designer. Both the GUI driven flow with ADE L and the text based command line flow are shown The steps to setup an AMS simulation in ADE L are discussed The ADE AMS Error Explanation tool will be used to show how to resolve simulation setup errors The steps needed to build the config view used in AMS simulation are illustrated The schematic based test case will be migrated to command line simulation to give an overview of both the runams and irun AMS command line based simulation flows IC Rapid Analog Prototyping (RAP) Workshop This material steps through a Rapid Analog Prototyping Flow in Virtuoso in IC The objective of this flow is to generate the layout of an analog circuit in an automated manner, in order to obtain early feedback on parasitics and device effects on circuit simulation. As a circuit designer you can thus identify issues early on and make necessary changes to quickly iterate through the flow which helps avoid costly changes late in the cycle and enables faster design convergence We demonstrate this flow using a sample and hold circuit based on a generic 45nm PDK Parasitic Aware Design Workshop ADE GXL's Parasitic Aware Design (PAD) features are used to investigate the effect of parasitic devices on a circuit. This material has been designed to highlight the features and functionality of the PAD flow in IC PAD Flow Overview Estimated Parasitic Flow Extracted Parasitic Flow Parasitic Reporting Flow Estimated PAD Flow using Custom Parasitic Cells

6 Analog Design Environment XL (ADE XL) Workshop Analog Design Environment XL (ADE XL) Workshop Virtuoso Analog Design Environment XL provides a multi-test simulation environment for thorough design validation, extensive design exploration, IP reuse, and early insight into manufacturing variability. This material has been designed to highlight many of the features and functionality of ADE XL. Use Analog Design Environment XL to efficiently manage all your simulations and to easily access all results Validate designs thoroughly over all required corners Automatically create design documentation based on results Use Analog Design Environment XL for improved verification coverage and statistical analysis IC615 VSR (Virtuoso Space-based Router) Workshop IC615 VSR (Virtuoso Space-based Router) Workshop This workshop highlights interactive, assisted and automatic routing features available in Virtuoso Space-based Router (VSR). During the course of the workshop you will be able to apply these features to do some device and block level routing and analyze your results in R-IDE (Routing Integrated Development Environment). Interactive and Assisted Routing in VSR (Virtuoso Space-based Router) Routing Integrated Development Environment (R-IDE) Virtuoso Integrated Physical Verification Sytem (Virtuoso IPVS) Workshop Virtuoso Integrated Physical Verification Sytem (Virtuoso IPVS) Workshop This workshop is designed to highlight many of the features and functionality of Virtuoso IPVS in the IC work environment. IPVS is a capability provided in Virtuoso to continuously check design rules using sign-off rules as you design. The goal of Virtuoso IPVS is to improve productivity by unobtrusively verifying the design and providing feedback during the design process. This is especially important at lower process nodes where the design rules are complex and discovering errors early can save time and effort. Creating layout with Virtuoso IPVS turned on

7 IC Virtuoso Schematic Editor XL PIEA, Conformal Low Power: Mixed- Signal Low Power Structural Verification Includes Rapid Adoption Kit with demo design (instructions are provided on how to setup user environment). Introduces the Power Intent Export Assistant (PIEA) feature that has been introduced in IC615 release. The power intent extracted is then verified by calling Conformal Low Power (CLP) which is built inside Virtuoso environment. Setup for PIEA Perform power intent extraction CPF Import Generate macro CPF and design CPF Perform low power verification by running CLP Schematic Model Generator Virtuoso Schematic Model Generator (SMG) is tightly integrated into the Virtuoso design environment and enables the generation of analog/mixed-signal behavioral models using a schematic-like representation of the behavioral model. The schematic view is then processed to generate the behavioral model. With this approach, behavioral modeling becomes easier to comprehend, communicate to involved team members and is better managed compared to manual textual entry. What is SMG Key benefits of SMG and a tutorial to demonstrate how to create a simple behavioral model for a voltage controlled oscillator (VCO) in the graphical modeling environment Schematic Model Generator (SMG) Creating Custom Connect Rules for AMS Simulation in ADE This document outlines the process used to create custom connect rules for running Mixed-Signal simulation in ADE with AMS Designer. The 5V connect rules that ship with the AMS simulator in the INCISIV installation will be copied to the working directory to create a set of custom connect rules for 1.5V. The example will show how to modify the rules file for 1.5V and how to build a connect rule library that will be recognized by the Connect Rule setup dialog in ADE. A short simulation will be used to validate the rules.

8 Spectre Device Checks Spectre device checking is used to monitor if devices in the circuit design are violating predefined set of conditions. The example describes the usage of the Spectre device checking feature. Set up device checks to insure that low voltage devices are not inadvertently used in a high voltage application. In the event a device is operating in an overvoltage condition, the check can show a warning or cause the simulation to stop on an error. Checking for conditions such as this can be an important productivity boost for long simulations since the simulation will stop right away when the condition is violated rather than finding out after the simulation has completed. Device Checking setup can be saved as with the ADE State for reuse or setup with an include file. Both methods will be explored. Using Spectre Save-Recover Spectre and APS have the capability to save a simulation state and restart the simulation from the saved state file. The simulation state for long simulations can be periodically saved to allow recovery from unforeseen circumstances, such as power outages or disk full issues. The save/recover methodology can also be used to restart simulations with different parameters or inputs. This example will illustrate using Spectre/APS Save-Recover from both ADE and command line based simulation. Using Spectre Save-Recover from ADE Using Spectre Save-Recover from the Command Line Additional Information/Quick Reference Basics of Inherited Connections Often times in design, the same cells need to be used in different parts of the circuit which use different power supply voltages. Inherited connections provide a mechanism to selectively override net connections by placing properties on the parent instance. Therefore the same cell can be used with different power supplies without the need for explicit power and ground pins. This document describes how inherited connections work with a sample design which shows how power and ground connections for the same cell can be different in the schematic hierarchy. Investigating Inherited Connections Setting Up Inherited Connections How Net Expressions Are Evaluated

9 Passing Parameters in the Schematic Hierarchy with ppar Schematics can be parameterized with ppar parameters to allow passing parameters from a parent instance to the lower level schematic (the child). This mechanism facilitates defining high level parameters to define the functionality of the circuit. The test case used for this example defines a strength parameter to set the drive capability of a buffer circuit. The strength parameter is passed down through the schematic hierarchy to define the size of the mos devices making up the buffer which in turn defines the current the buffer is capable of driving. Investigate Parameter Passing with ppar How to Setup Parameter Passing with ppar Adding ppar Parameters to Existing Schematics Setting defaults for ppar Parameters Power, Ground and Structured Routing Implementation Flow The flow leverages a combination of existing Virtuoso functionality, Virtuoso Routing TCL commands, and custom SKILL scripts to enable users to efficiently build custom power, ground and structured signal routes. An assisted flow where users have detailed control over how data is created but should rarely need to create or manipulate the data using the lowest level commands such as create, stretch, etc. Data is created and manipulated at high level, no need for time consuming zooming, placement or alignment of objects at the manufacturing grid level. The flow also supports creation of power and ground rough-in during early floorplanning. This flow is intended for Analog Mixed-Signal designs at the chip, block and device level in Virtuoso. Power, Ground and Structured Routing Implementation Flow and Methodology

10 Encounter Digital Implementation (EDI) System and Sign-off Flow These Rapid Adoption Kits are related to the Encounter Digital Implementation (EDI) System and Sign-off Flow using Encounter Digital Implementation (EDI) System, Encounter Timing System (ETS) and Encounter Power System (EPS). Clock Concurrent Optimization (CCOpt) Basics of running clock concurrent optimization (CCOpt) on a design in Encounter Digital Implementation (EDI) system How to configure number of settings that control, how CCOpt will optimize the design How to run standalone CCOpt and use its analysis tools to investigate the design Advanced design debug techniques with CCOpt How to generate RC multipliers by correlating SPEF values with estimates for existing nets Database Access with DBTCL dbget basics: interactive queries and introduction to database traversal in Encounter Digital Implementation (EDI) system Advanced dbget techniques for programming using pattern matching to filter lists of pointers Using dbget.?h and dbschema to learn more about the database objects and their attributes Modifying object attributes using dbset Post Assembly Closure (PAC) Flow Basics of running Post Assembly Closure (PAC) flow on a design in Encounter Digital Implementation (EDI) system To assemble the design and libraries into the Encounter Digital Implementation system and create a floorplan To enable the PAC mode Verify the correctness of the data

11 Prototyping Foundation Flat Flow Gigascale Prototyping with FlexModels Prototyping Usage Model. FlexModels enable GigaScale Design Exploration using EDI System Prototyping Foundation Top to Bottom Flow Gigascale Prototyping with FlexModels Prototyping Usage Model FlexModels enable GigaScale Design Exploration using EDI System Encounter Low-Power Design Flow: CPF Implementation How LP Foundation Flow works The advantage of CPF to implement designs with multiple supply voltage (MSV) and power shutoff (PSO) architecture Setting up MMMC in Low Power Flow MMMC SignOff ECO using EDI11 System and ETS11 Overview and context What is the MMMC SignOff ECO solution Use model Results on several customer designs

12 MMMC SignOff ECO using EDI12/13.1 System and ETS12/13.1 Overview and context What is the MMMC SignOff ECO solution Use model based on ETS12 or ETS13.1 Using AAE-SI delaycal which is the default Using read_view_definition as the main command to load the design environment Introducing Setup timing closure Using resize technique in addition to buffering Use model based on ETS12 or ETS13.1 Runs Hold, DRV and Setup in one single session (using incremental timing closure Shows how to assemble a hierarchical design in ETS to perform STA and ECO Runs Hierarchical aware Hold, DRV and Setup timing closure in one single session Global Timing Debug using EDI System or ETS Use Model How to use global timing debug utility to do timing analysis How to create different categories for timing analysis How to perform bottleneck analysis Time Budgeting using EDI System How to run budgeting, and the associated flow Examine the effect of virtual optimization Examine the effect of Latency Modify and customize budget Support for OpenAccess in budgeting How to RUN TQRC/IQRC/SignOff-QRC using EDI System QRC Extraction Cell Level Flow How to run TQRC/IQRC/SignOff-QRC using EDI System Check timing using different engines

13 EDI to Transistor Level QRC Signoff Flow How to extract parasitic Prepare different views to be used for post layout simulation Flow demonstration by using multiple supported flows i.e. DEF, StreaamOut, OA Timing and Signal Integrity Analysis using Encounter Timing System (ETS) How to load various input files and prepare setup for ETS How to do Timing Analysis and interpret reports Setup SI analysis Digital Mixed Signal (DMS) Implementation using EDI and Virtuoso Design Import and Early Timing Analysis Pin Optimization and Refinement Analog on Top (AoT) Block Design using Virtuoso and EDI FTM creation and top level timing analysis Late Cycle ECOs and FTM analysis

14 Synthesis, Test and Verification flow These Rapid Adoption Kits are related to the Synthesis, Test and Verification flow using RTL Compiler, Encounter Test, Conformal, and Incisive products. RTL Compiler: RC Adoption Kit Includes RTL Compiler (RC) Rapid Adoption Kit with demo design. Provides detailed RC overview, how to get started and create a simple script quickly, insights into smart debugging, and general understanding of RC s flexible TCL infrastructure for increased productivity. Skill Level : Beginner RTL Compiler and Conformal LEC: Getting the Best out of RC and LEC Includes RTL Compiler(RC) and Conformal LEC(LEC) and Rapid Adoption Kit with demo design (design embedded within tool release, instructions are provided on how to setup user environment). Introduces new framework to help RC/LEC verification. Skill Level : Beginner RTL Compiler(RC) and Incisive: RTL Power Profiling Includes RTL Compiler (RC) and Incisive (IES) Rapid Adoption Kit with demo design. Demonstrates how users can leverage both synthesis and verification technologies to perform early accurate power analysis. RTL Compiler Physical: Physically-aware Timing Closure and Congestion Analysis Includes RC Physical (RCP) Rapid Adoption Kit with demo design. Demonstrates physically-aware timing closure and congestion analysis. Hand off fully-placed and legalized seed placement to physical design.

15 RTL Compiler Physical and Encounter Test: Physically-aware DFT Includes RTL Compiler Physical (RCP) and Encounter Test (ET) Rapid Adoption Kit with demo design. Introduces benefits of physically-aware test synthesis driving predictability. Conformal Low Power, RTL Compiler and Incisive: Low Power Verification for Beginners Includes Rapid Adoption Kit with demo design (instructions are provided on how to setup user environment). Introduces closed loop verification methodology using Conformal Low Power. Skill Level : Beginner Conformal Low Power and RTL Compiler: Low Power Verification for Advanced Users Includes Rapid Adoption Kit with demo design (instructions are provided on how to setup user environment). Introduces advanced features of Conformal Low Power Power Intent Comparison, Hierarchical Integration and CPF Macro Modeling. Conformal Constraint Designer: SDC Constraint and CDC Verification Methodologies Includes Conformal Constraint Designer (CCD) Rapid Adoption Kit with demo design. Demonstrates how users can perform SDC constraint checks and Clock Domain Crossing (CDC) checks application for both IP and chip-level requirements. Skill Level : Beginner Conformal ECO Designer and EDI System: Enabling RTL-to-GDSII ECO Flows Includes Conformal ECO Designer(ECO) and EDI Rapid Adoption Kit with demo design. Steps through RTL-to-GDSII ECO flows users can leverage for their own design environment. Demonstrates benefits of leveraging RTL Compiler under-the-hood for auto delta logic synthesis - transparent to user. Skill Level : Beginner

16 Conformal Low Power: CPF Macro Models Includes Conformal Low Power (CLP) Rapid Adoption Kit with demo design. Demonstrates benefits of CPF macro modeling that can be leveraged throughout the Cadence low power design flow for increased productivity. Conformal Low Power: UPF-to-CPF Translation and Low Power Verification Includes Conformal Low Power (CLP) Rapid Adoption Kit with demo design. Introduces the low power interoperability flow using CLP and how to convert UPF to CPF. Included are guidance and recommendations to navigate around some of the pitfalls of mixed-format flow. RTL Compiler and Conformal Low Power: Advanced Low Power Synthesis Validation Includes RTL Compiler (RC) and Conformal Low power (CLP) Rapid Adoption Kit with demo low power design and labs. Demonstrates how users can leverage RTL compiler to perform multi-supply voltage (MSV) synthesis and low power cell insertion for power shutoff (PSO) and MSV. The RAK also demonstrates the use of Conformal low power to validate power intent (CPF) quality and the synthesized design netlist for equivalence and electrical integrity. IC Virtuoso Schematic Editor XL PIEA, Conformal Low Power: Mixed- Signal Low Power Structural Verification Includes Rapid Adoption Kit with demo design (instructions are provided on how to setup user environment). Introduces the Power Intent Export Assistant (PIEA) feature that has been introduced in IC615 release. The power intent extracted is then verified by calling Conformal Low Power (CLP) which is built inside Virtuoso environment. Setup for PIEA, perform power intent extraction, CPF Import, generate macro CPF and design CPF, perform low power verification by running CLP. Skill Level: Intermediate

17 Conformal LEC: LEC Jumpstart Kit Includes LEC Jumpstart Kit with demo design and lab instructions. Provides detailed LEC technical stepby-step guide, how to get started and create a simple script quickly, insights into smart debugging, and general understanding of LEC s terminology and best practices for increased productivity. Skill Level : Beginner Encounter Test and RTL Compiler: Integrating DFT during Synthesis Includes RTL Compiler (RC) and Encounter Test (ET) Rapid Adoption Kit with demo design. Helps user walk through several of the DFT capabilities available for insertion via RC, including testability analysis, compression analysis and insertion, and MBIST insertion. Shows the link to ET and how that flow is run. Skill Level : Beginner Encounter Test: ATPG and Analysis Includes Encounter Test (ET) Rapid Adoption Kit with demo design. Demonstrates the static ATPG flow, the delay test ATPG flow, the delay test ATPG flow with OPCG and SDF annotation as well as the Low Power ATPG flow in Encounter Test. Encounter Test: Precision Diagnostics Includes Encounter Test (ET) Rapid Adoption Kit with demo design. Demonstrates how ET ATPG customers can step through the precision diagnostics flow using Encounter Diagnostics. Skill Level : Advanced Encounter Test and RTL Compiler: Adding Structured Test and ATPG in the VDI Environment Includes Encounter Test (ET) and Virtuoso Digital Implementation (VDI) system Rapid Adoption Kit with demo design. Demonstrates how VDI customers can use RTL Compiler (RC) to create designs ready for structured test so mixed-signal designers can get familiar with the flow of using RC to insert scan and test points and then run ATPG using ET.

AMS DESIGN METHODOLOGY

AMS DESIGN METHODOLOGY OVER VIEW CADENCE ANALOG/ MIXED-SIGNAL DESIGN METHODOLOGY The Cadence Analog/Mixed-Signal (AMS) Design Methodology employs advanced Cadence Virtuoso custom design technologies and leverages silicon-accurate

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Release CTOS 14.2 Description Assura(TM) Design Rule Checker Assura(TM) Layout Vs. Schematic Verifier Assura(TM) Multiprocessor Option CCD Multi-Constraint Check Option Encounter (R) Conformal Constraint

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit Cadence/EURORPACTICE 2011/2012 Release IC Package Encryption Cadence Advanced Encryption Standard-64bit ALTOS 3.1 ALTOS 3.1 Liberate Server Liberate Client ASSURA 4.1 ASSURA 4.1 ASSURA 4.1 Assura(TM) Design

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

AMIS CDNLive Paper: A DFII Based Place and Route Interface

AMIS CDNLive Paper: A DFII Based Place and Route Interface Table of Contents Chapter 1.0 Overview Chapter 2.0 Implementation Chapter 3.0 Digital Integration Form Chapter 4.0 To P&R Tab Chapter 5.0 From P&R Tab Chapter 6.0 Summary 1/17 Introduction Chapter 1: Overview

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

PlanAhead Release Notes

PlanAhead Release Notes PlanAhead Release Notes What s New in the 11.1 Release UG656(v 11.1.0) April 27, 2009 PlanAhead 11.1 Release Notes Page 1 Table of Contents What s New in the PlanAhead 11.1 Release... 4 Device Support...

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Galaxy Custom Designer LE Custom Layout Editing

Galaxy Custom Designer LE Custom Layout Editing Datasheet Galaxy Custom Designer LE Custom Layout Editing Overview Galaxy Custom Designer LE is the modern-era choice for layout entry and editing, enabling users to meet the challenges of today s fast-moving

More information

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL DATASHEET The Cadence FPGA addresses the challenges that engineers encounter when designing one or more large-pin-count FPGAs on the PCB board which includes creating the initial pin assignment, integrating

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017 Enabling An Interconnected Digital World Cadence EDA and IP Update Jonathan Smith Director, Strategic Alliances June 1, 2017 IoT Market Definition and Growth Estimates Large and widely varying Known: IoT

More information

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems.

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems. TRILOBYTE SYSTEMS Consistent Timing Constraints with PrimeTime Steve Golson Trilobyte Systems http://www.trilobyte.com 2 Physical implementation Rule #1 Do not change the functionality Rule #2 Meet the

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Introduction. About this tutorial. How to use this tutorial

Introduction. About this tutorial. How to use this tutorial Basic Entry & not About this tutorial This tutorial consists of an introduction to creating simple circuits on an FPGA using a variety of methods. There are two ways to create the circuit: using or by

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

ALLEGRO DESIGN ENTRY HDL 610

ALLEGRO DESIGN ENTRY HDL 610 DATASHEET ALLEGRO DESIGN ENTRY HDL 610 ROBUST AND HIGHLY INTEGRATED SCHEMATIC DESIGN Cadence Allegro Design Entry HDL 610, a 600 series product within the Allegro system interconnect design platform, offers

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410

Revision Notes: July2004 Generate tutorial for single transistor analysis. Based on existing schematic entry tutorial developed for ECE410 Cadence Analog Tutorial 1: Schematic Entry and Transistor Characterization Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revision Notes: July2004 Generate tutorial for

More information

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5)

Amplifier Simulation Tutorial. Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Amplifier Simulation Tutorial Design Kit: Cadence 0.18μm CMOS PDK (gpdk180) (Cadence Version 6.1.5) Yongsuk Choi, Marvin Onabajo This tutorial provides a quick introduction to the use of Cadence tools

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Baseband IC Design Kits for Rapid System Realization

Baseband IC Design Kits for Rapid System Realization Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering Agenda How to Speed Up IC

More information

Intel Quartus Prime Pro Edition User Guide

Intel Quartus Prime Pro Edition User Guide Intel Quartus Prime Pro Edition User Guide Block-Based Design Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Block-Based Design Flows...

More information

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow OpenAccess based architecture for Neolinear s Rapid Analog Design Flow Bogdan Arsintescu, David Cuthbert, Elias Fallon, Matt Phelps Abstract Developing tools for today s analog and mixed-signal design

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

ALLEGRO DESIGN ENTRY HDL 610

ALLEGRO DESIGN ENTRY HDL 610 DATASHEET ALLEGRO DESIGN ENTRY HDL 610 ROBUST AND HIGHLY INTEGRATED SCHEMATIC DESIGN Cadence Allegro Design Entry HDL 610, a 600 series product within the Allegro system interconnect design platform, offers

More information

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages

EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2015 Purpose: The purpose of this experiment is to develop methods for using Hardware

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

IOT is IOMSLPT for Verification Engineers

IOT is IOMSLPT for Verification Engineers IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017 IOT = Internet of Mixed-Signal Low Power

More information

New Challenges in Verification of Mixed-Signal IP and SoC Design

New Challenges in Verification of Mixed-Signal IP and SoC Design New Challenges in Verification of Mixed-Signal IP and SoC Design Luke Lang Cadence Design Systems, Inc. 2655 Seely Ave. San Jose, CA 95134 1-408-576-3640 lukelang@cadence.com Christina Chu Cadence Design

More information

EECS 627, Lab Assignment 2

EECS 627, Lab Assignment 2 EECS 627, Lab Assignment 2 1 Introduction In this lab assignment, you will extend the process of designing your multiplier chip. You will add two more blocks (a pseudo-random test pattern generator and

More information

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017

ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 ECE425: Introduction to VLSI System Design Machine Problem 3 Due: 11:59pm Friday, Dec. 15 th 2017 In this MP, you will use automated tools to synthesize the controller module from your MP2 project into

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

13. LogicLock Design Methodology

13. LogicLock Design Methodology 13. LogicLock Design Methodology QII52009-7.0.0 Introduction f Available exclusively in the Altera Quartus II software, the LogicLock feature enables you to design, optimize, and lock down your design

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Physical Placement with Cadence SoCEncounter 7.1

Physical Placement with Cadence SoCEncounter 7.1 Physical Placement with Cadence SoCEncounter 7.1 Joachim Rodrigues Department of Electrical and Information Technology Lund University Lund, Sweden November 2008 Address for correspondence: Joachim Rodrigues

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

AccuCore STA DSPF Backannotation Timing Verification Design Flow

AccuCore STA DSPF Backannotation Timing Verification Design Flow Application Note AccuCore STA DSPF Backannotation Timing Verification Design Flow Abstract This application note highlights when and why DSPF backannotation is needed during timing verification, and details

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

Virtuoso Schematic Composer

Virtuoso Schematic Composer is a schematic design tool from Cadence. In this tutorial you will learn how to put electrical components, make wire connections, insert pins and check for connection error. Start Cadence Custom IC Design

More information

Block-Based Design User Guide

Block-Based Design User Guide Block-Based Design User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Block-Based

More information

Incisive Enterprise Verifier

Incisive Enterprise Verifier Integrated formal analysis and simulation engines for faster verification closure With dual power from integrated formal analysis and simulation engines, Cadence Incisive Enterprise Verifier allows designers,

More information

Introduction to VHDL. Module #5 Digilent Inc. Course

Introduction to VHDL. Module #5 Digilent Inc. Course Introduction to VHDL Module #5 Digilent Inc. Course Background Availability of CAD tools in the early 70 s Picture-based schematic tools Text-based netlist tools Schematic tools dominated CAD through mid-1990

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

designs with signals operating in the multi-gigahertz (MGH) frequency range. It DATASHEET ALLEGRO PCB SI GXL Cadence Allegro PCB SI GXL provides a virtual prototyping environment for designs with signals operating in the multi-gigahertz (MGH) frequency range. It offers a completely

More information

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback Compiler User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Design Compilation...

More information

Virtuoso - Enabled EPDA framework AIM SUNY Process

Virtuoso - Enabled EPDA framework AIM SUNY Process Virtuoso - Enabled EPDA framework AIM SUNY Process CADENCE, LUMERICAL, PHOENIX SOFTWARE Driven by our customers Cadence is the leader with Virtuoso custom design platform for electronics custom and mixed

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial. Digital Logic Synthesis and Equivalence Checking Tools Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada CAD Tool Tutorial May, 2010

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Virtuoso System Design Platform Unified system-aware platform for IC and package design Unified system-aware platform for IC and package design The Cadence Virtuoso System Design Platform is a holistic, system-based solution that provides the functionality to drive simulation and LVS-clean

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2)

Creating Verilog Tutorial Netlist Release Date: 01/13/2005(Version 2) Creating Verilog Tutorial 2-1 - Creating a verilog netlist for a schematic: The verilog netlist is necessary for automatic layout (placement and routing) tools. It contains information about the I/O pins

More information

Intel Quartus Prime Pro Edition User Guide

Intel Quartus Prime Pro Edition User Guide Intel Quartus Prime Pro Edition User Guide Design Compilation Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Design Compilation...

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group.

Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Cadence Tutorial A: Schematic Entry and Functional Simulation Created for the MSU VLSI program by Andrew Mason and the AMSaC lab group. Revision Notes: Aug. 2003 update and edit A. Mason add intro/revision/contents

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013 Agenda Soft IP Quality Establishing a Baseline With TSMC Soft IP Quality What We

More information

Advanced ALTERA FPGA Design

Advanced ALTERA FPGA Design Advanced ALTERA FPGA Design Course Description This course focuses on advanced FPGA design topics in Quartus software. The first part covers advanced timing closure problems, analysis and solutions. The

More information

Gates-on-the-Fly fixes Logic Equivalence Check Failures

Gates-on-the-Fly fixes Logic Equivalence Check Failures Gates-on-the-Fly fixes Logic Equivalence Check Failures Logical Equivalence Checking software like Cadence s Conformal and Synopsys Formality create detailed reports of differences and errors, but it is

More information

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow Outline Digital IC-Project and Verification Deepak Dasalukunte Backend ASIC Design flow General steps Input files Floorplanning Placement Clock-synthesis Routing Typical Backend Design Flow SoC Encounter

More information

Lattice Semiconductor Design Floorplanning

Lattice Semiconductor Design Floorplanning September 2012 Introduction Technical Note TN1010 Lattice Semiconductor s isplever software, together with Lattice Semiconductor s catalog of programmable devices, provides options to help meet design

More information