Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Size: px
Start display at page:

Download "Outline of Presentation Field Programmable Gate Arrays (FPGAs("

Transcription

1 FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University

2 Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable Logic Works Configuration Memory Single Event Upset (SEU) Problem in FPGAs Configuration Memory System Function Memory Elements Architectural Solutions Hamming Code for Memory SEU Controller for Configuration Memory Triple Modular Redundancy and Guard Bands Operational Solutions Plan for AubieSat-2 Summary & Conclusions AubieSat AUBIeSaT /3/7 VLSI Design & Test Seminar 2

3 Basic FPGA Operation Writing configuration memory defines system function Input/Output (I/O) Cells Logic in Logic Blocks Connections between Logic Blocks & I/O cells Changing configuration memory data changes system function Can change at anytime Partial reconfiguration SEUs can change configuration memory data to another function /3/7 VLSI Design & Test Seminar 3

4 FPGA Resources FPGA Resource Small FPGA Large FPGA Logic Routing Specialize d Cores Other PLBs per FPGA LUTs and flip-flops flops per PLB Wire segments per PLB PIPs per PLB Bits per memory core Memory cores per FPGA DSP cores Input/output cells Configuration memory bits ,4 Almost everything in FPGA either,2 79,74,832 /3/7 VLSI Design & Test Seminar Uses memory elements, or Is controlled by configuration memory 25, ,462 36,

5 PLB Architecture Look-up Table (LUT) implements truth table for combination logic functions Carry & control logic implements fast adders/subtractors subtractors Memory elements susceptible to SEUs: Flip-flop/latch LUTs are memory elements storing truth table In some FPGAs LUTs can function as small RAMs Input[:4] Control 4 LUT/ RAM clock, enable, set/reset 3 carry in Carry & Control Logic carry out Flip-flop/ Latch Output Q output /3/7 VLSI Design & Test Seminar 5

6 Combinational Logic Fucntions Any digital logic function can be represented by a truth table Multiplexer example If S =, Z = A If S =, Z = B Heavily used in FPGAs S S input controlled by configuration memory bit to allow selection of signal flow A S B Truth table S A B Z Z Logic symbol A Z B S /3/7 VLSI Design & Test Seminar 6

7 Configuration memory holds outputs for truth table Internal signals connect to control signals of multiplexers to select value of truth table for any given input value Look-up Tables Z B A S Multiplexer A Z B S Truth table S A B Z /3/7 VLSI Design & Test Seminar 7

8 Look-up Table Based RAMs Normal LUT mode performs read operations Address decoder with write enable generates load signals to latches for write operations Small RAMs but can be combined for larger RAMs Data In ld In In In2 Write Enable /3/7 VLSI Design & Test Seminar 8 Address Decoder ld ld2 ld3 ld4 ld5 ld6 ld7 In In In2 Z

9 Xilinx Virtex-4 FPGAs Configuration memory: 4.7M to 5.8M bits of RAM Logic Blocks:,536 to 22,272 4 LUTs (4-input) 4 LUTs/RAMs (4-input) 8 8 FF/latches Block RAMs: : 48 to 552 8K-bit dual-port RAMs Also operate as FIFOs DSP cores: 32 to 52, each includes: 8x8-bit multiplier 48-bit adder & accumulator PowerPC processors: to 2 PC PC /3/7 VLSI Design & Test Seminar 9

10 It s s Getting Worse All The Time Smaller design rules & lower supply voltages M. Ohlsson,, P. Dyreklev, K. Johansson, & P. Alfke, Neutron Single Even Upsets in SRAM-Based FPGAs, Proc. 998 IEEE Nuclear & Space Radiation Effects Conf. Used radiation chamber to calculate SEU frequency at altitude of km at 6 N N (Sweden) Increase by FPGA XC4E XC4XL a factor of 2.5 Process Vcc SEU every.6µm 5V.3x 6 hrs.35µm 3.3V 2.8x 5 hrs 4 slices in 4 vs. 89,88 in Virtex-4 Projecting this for 3 design rule shrinks & 2 voltage reductions we get SEU every 28.2 hrs /3/7 VLSI Design & Test Seminar

11 Hardware Solutions FPGA manufacturers are including some mechanisms for Detecting/correcting SEUs Hamming code Configuration memory SEU controller soft core RAM cores Tolerating SEUs Tools for Triple Modular Redundancy (TMR) TMR would be used for FPGA memory elements not covered by Hamming code Allows limited number of SEUs to be tolerated Need more & better techniques /3/7 VLSI Design & Test Seminar

12 Calculating Hamming Code H = # Hamming bits D+H+ + 2 H D= # data bits Hamming, BSTJ 5 D=8 example H=D D2 D2 D4 D5 D7D7 H2=D D3 D3 D4 D6 D7D7 H3=D2 D3 D3 D4 D8D8 H4=D5 D6 D6 D7 D8D8 Hamming distance, d=3= =3=E+C++ Single bit error detection & correction (SEC) E=, C= Additional parity bit, d=4= =4=E+C++ Parity over data & Hamming bits Double error detection (DED) & single error correction (SEC) E=2, C= Position Bit H H2 D H3 D2 D3 D4 H4 D5 D6 D7 D8 Parity H Parity H2 Parity H3 Parity H4 E = #bit errors to detect C = #bit errors to correct Error Type No bit error -bit correctable error 2-bit error detection Condition Hamming match, no parity error Hamming mismatch, parity error Hamming mismatch, no parity error /3/7 VLSI Design & Test Seminar 2

13 Hamming Code Operation Example: RAM or configuration memory Input (Generate Circuit): Generate Hamming code for data Store data and Hamming bits Output (Detect/Correct Circuit): Regenerate Hamming code for data Bit-wise XOR with stored Hamming bits Non-zero syndrome indicates Error detection and bit position of error bit Flip that bit to correct H stored H regenerated Syndrome Syndrome Extra parity bit determines non-correctable double bit error Indication can disable correction circuit to avoid further corruption /3/7 VLSI Design & Test Seminar 3 H H H D i H D i D i Syndrome Decoder

14 Error Detection and Correction Single bit error examples D3 is erroneous Changes H3 and H2 Syndrome = = bit 6 D6 is erroneous Position Bit H H2 D H3 D2 D3 D4 H4 D5 D6 D7 D8 H= Syndrome = = bit H2= H2= H3= H3= H4= H4= Changes H4 and H2 Odd number of bits change Overall parity bit error SEC Double bit error example D3 and D6 are erroneous Changes H3 and H4 (but not H2) Syndrome = = bit 2 Indicates error in D8 Even number of bits change No overall parity error DED /3/7 VLSI Design & Test Seminar 4

15 Virtex-4 4 Hamming Codes Hamming bits stored in each frame of configuration memory Frame ECC circuit checks Hamming code as each frame is read & indicates Single correctable errors Need additional circuit to fix erroneous bit Multiple non-correctable errors Need to reload configuration memory Block RAMs Contents not covered by configuration memory Hamming bits RAMs have ECC mode with Hamming bits Detection and correction circuitry Correction only on output data Need to write corrected data back in RAM PC PC /3/7 VLSI Design & Test Seminar 5

16 Xilinx Virtex-4 4 Frame ECC Circuit Hamming code stored in configuration memory,32-bit frame includes Up to,3 bits of configuration data Hamming bits + overall parity bit Hamming code generated by configuration bit generation program and downloaded with configuration data Hamming code check performed on each read operation No bit error correction must be performed by user logic and written back to configuration memory D Output Status indications: Config Data No error Memory Parity Bit DED H SEC w/ syndrome,32-bit Generator Parity DED Check words Error Syndrome valid SEC Frame Address Register Hamming Code Generator Hamming Check /3/7 VLSI Design & Test Seminar 6 H FRAME ECC Indicators H Syndrome

17 Xilinx s s SEU Controller Soft core synthesized with user s s design Sequences through frames one at a time Uses Frame ECC circuit and Internal Configuration Access Port (ICAP) to detect Single bit detectable errors PicoBlaze microcontroller corrects bit and writes frame back into configuration memory Double bit non-correctable errors Requires 4 PLBs & 2 Block RAMs 3 PLBs for PicoBlaze and RAM for program memory PLBs for SEC circuit and ICAP interface Plus RAM for storing and correcting frame data SEU controller operation (full MHz) Error detection time.2 to 4.6 msec Smallest to largest Virtex-4 Error correction time 24 to 278 msec /3/7 VLSI Design & Test Seminar 7

18 Complicating the Problem Block RAM contents not covered by configuration memory Hamming bits Current program memory for PicoBlaze not SEU tolerant Changing data in memory elements FFs & LUT-RAMs Do not change Hamming bits Restore operation Loads config memory data into FFs,, LUT-RAMs RAMs,, and BRAMs Capture operation Loads FF, LUT-RAM, and BRAM contents to config mem for read Destroys Hamming information Cannot use Capture with SEU controller Operational restrictions on FPGA for SEU tolerance SEU controller not SEU-tolerant Need TMR SEU controller design Need TMR PicoBlaze design w/ ECC RAM for program mem Need to write corrected single bit errors back into program memory /3/7 VLSI Design & Test Seminar 2

19 Virtex-4 4 Block RAMss Contain 48 to 552 8K-bit dual-port RAMs Program from 6Kx-bit RAM to 52x36-bit RAM No SEU protection in these modes of operation Can operate as 24 to K-bit RAMs with ECC 52x72-bit RAMs 64-bit data 7-bit Hamming Single error correction -bit overall parity Double error detection Can also operate as FIFOs With or without ECC mode =DSPs =PLBs PPC PPC =Block RAMs/FIFOs =I/O Buffers /3/7 VLSI Design & Test Seminar 2

20 Xilinx Virtex-4 4 ECC RAM Separate Hamming code generators Separate write & read ports Only RAM output data corrected by ECC Contents of RAM still erroneous Extra circuitry to write corrected data back into RAM Virtex-5 5 has internal correct mode Input Data Hamming Code Generator Parity Bit Generator Generate D=64 H=7 RAM Core 52 words bits/word write addr read addr D H Syndrome Parity Bit Generator Hamming Code Generator no err H H2 D H3 D2 D3 D4 H4 D5 D6 D7 D8 D9 D D H5 D2 D3 D4 D5 D6 D7 D8 D9 D2 D2 D22 D23 D24 D25 D26 H6 D27 D28 D29 D3 D3 D32 D33 D34 D35 D36 D37 D38 D39 D4 D4 D42 D43 D44 D45 D46 D47 D48 D49 D5 D5 D52 D53 D54 D55 D56 D57 H7 D58 D59 D6 D6 D62 D63 D64 Bit Error Correction Circuit Parity Check Hamming Check /3/7 VLSI Design & Test Seminar 22 H D Detect/Correct Output Data DED Error Indicators SEC

21 Triple Modular Redundancy (TMR) Replicate modules and add majority voter(s) Protects against single faults in replicated modules TMR SEU susceptibility problem in FPGAs Single faults in can cause multiple modules to fail Primarily bi-directional PIPs TMR fault isolation with guard band regions Guard bands isolate module components and routing An SEU can cause errors in only one module Deactivated switch isolated wire segments Module Module 3 Module 2 Majority Voter Module Guard Bands Module 2 Majority Voter Module 3 /3/7 VLSI Design & Test Seminar 24

22 Programmable Interconnect Points Break-point PIP Connect or isolate 2 wire segments Cross-point PIP 2 nets straight through net turns corner and/or fans out Compound cross-point PIP Collection of 6 break-point PIPs Can route to two isolated signal nets These bi-directional PIPs were significant portion of routing resources in early FPGAs Now less than.4% of routing resources Multiplexer PIP Directional and buffered Main routing resource in recent FPGAs Select -of of-n inputs for output Buffer prevents some SEU affects But not all currently studying effects /3/7 VLSI Design & Test Seminar 25

23 Guard Bands Guard Bands reduce interaction of signals between modules 6 CLB wide GBs Good isolation but big area overhead CLB wide GBs Some isolation 9 Turn off stub trimming to see used wire segment interaction Still have problems Long lines 9 Long lines use bidirectional PIPs 9 PACE controls logic but not routing CLB isolation for fault monitoring circuits /3/7 VLSI Design & Test Seminar 26

24 Fault Monitoring Circuit Located in guard band regions Compares outputs of adjacent working regions Can be used to compare internal nodes Earlier SEU detection than output alone Any mismatch implies SEU occurred output from region # guard band with fault monitor circuit Count errors and/or take action Scrub configuration memory Activate SEU controller to locate/correct single bit errors Failure indications point to frames to scan for errors output from region #2 PLBs for SR latch fault isolation Interrupt to SEU controller Module Guard Bands Module 2 /3/7 VLSI Design & Test Seminar 27 Module 3

25 Majority Voter for SEU Controller Adding XORs to majority voting circuit gives circular comparison of module outputs Better diagnostic resolution for faulty modules to scan for SEU controller Lower latency for locating/correcting SEUs Out Out2 Out3 Out X Out2 X Out3 X /3/7 VLSI Design & Test Seminar 28

26 Our Plan for Virtex-4 FPGAs Configuration memory: 4.7M to 5.8M bits of RAM ECC SEU PLBs: :,536 to 22,272 4 LUTs (4-input) 4 LUTs/RAMs (4-input) 8 8 FF/latches SEU TMR Block RAMs: : 24 to K-bit ECC RAMs (ECC only) Also operate as FIFOs ECC DSP cores: 32 to 52, each includes: TMR 8x8-bit multiplier 48-bit adder & accumulator PowerPC processors: to 2 /3/7 VLSI Design & Test Seminar 29 PC PC Can t t TMR PowerPCs!! Use TMR Micro- or Pico-Blaze

27 AUBIeSaT Plan Count, correct, and classify SEUs in an actual FPGA in space Compare with sensor measurements Determine if SEUs impact system function or not Single bit correctable With and without impact on system function Double bit non-correctable With and without impact on system function Record and transmit SEU counts and types Tolerate/correct SEUs using various mechanism Use ECC functionality to count & correct SEUs Configuration Memory (w/ SEU controller circuit) Block RAMs in ECC mode Monitor and count failure indications /3/7 VLSI Design & Test Seminar 3

28 AUBIeSaT Plan Use TMR with guard bands for all other logic Design SEU Include fault monitoring circuits to detect/count SEUs SEUs can occur in configuration memory & be counted twice But only configuration memory ECC can correct SEUs SEUs in system TMR flip-flops flops may be flushed out in time Fault monitor failures indicate area for SEU controller scan Reduces latency for detection & correction of SEU Include ability to download original configuration To scrub memories in case of multiple non- correctable errors in configuration memory Use rad-hard ROM to store configuration May also periodically re-download to scrub memory /3/7 VLSI Design & Test Seminar 3

29 Summary Single Event Upsets (SEUs( SEUs) ) in FPGAs Serious problem Everything controlled by configuration memory bits New architectural features provide indication of SEUs with ability to correct SEU controller scan to detect and correct single bit errors ECC Block RAM mode TMR with guard band regions in FPGAs Isolate multiple working regions that contain functionally equivalent system functions Fault monitoring circuits within guard bands AHAB Compare working regions Detects SEUs that could impact system operation Take action when mismatch occurs /3/7 VLSI Design & Test Seminar 32

An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs

An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs An Architecture for Fail-Silent Operation of FPGAs and Configurable SoCs Lee W. Lerner and Charles E. Stroud Dept. of Electrical and Computer Engineering Auburn University Auburn, AL, USA Abstract We present

More information

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective

Outline. Field Programmable Gate Arrays. Programming Technologies Architectures. Programming Interfaces. Historical perspective Outline Field Programmable Gate Arrays Historical perspective Programming Technologies Architectures PALs, PLDs,, and CPLDs FPGAs Programmable logic Interconnect network I/O buffers Specialized cores Programming

More information

FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) 1 Roth Text: Chapter 3 (section 3.4) Chapter 6 Nelson Text: Chapter 11 Programmable logic taxonomy Lab Device 2 Field Programmable Gate Arrays Typical Complexity

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

FPGA Implementations

FPGA Implementations FPGA Implementations Smith Text: Chapters 4-8 Online version at: http://www10.edacafe.com/book/asic/asics.php Topic outline Chapter 4 Programmable ASIC technologies Chapter 5 Programmable logic cells Chapter

More information

Atmel AT94K FPSLIC Architecture Field Programmable Gate Array

Atmel AT94K FPSLIC Architecture Field Programmable Gate Array Embedded Processor Based Built-In Self-Test and Diagnosis of FPGA Core in FPSLIC John Sunwoo (Logic BIST) Srinivas Garimella (RAM BIST) Sudheer Vemula (I/O Cell BIST) Chuck Stroud (Routing BIST) Jonathan

More information

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency

Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Dynamic Partial Reconfiguration of FPGA for SEU Mitigation and Area Efficiency Vijay G. Savani, Akash I. Mecwan, N. P. Gajjar Institute of Technology, Nirma University vijay.savani@nirmauni.ac.in, akash.mecwan@nirmauni.ac.in,

More information

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Hamid R. Zarandi,2, Seyed Ghassem Miremadi, Costas Argyrides 2, Dhiraj K. Pradhan 2 Department of Computer Engineering, Sharif

More information

Outline of Presentation

Outline of Presentation Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula and Charles Stroud Electrical and Computer Engineering Auburn University presented at 2006 IEEE Southeastern Symp. On System

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University Built-In Self-Test for System-on on-chip: A Case Study Charles Stroud, Srinivas Garimella,, John Sunwoo, Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

On-Line Single Event Upset Detection and Correction in Field Programmable Gate Array Configuration Memories

On-Line Single Event Upset Detection and Correction in Field Programmable Gate Array Configuration Memories IJCA, Vol. 17, No. 2, June 2010 1 On-Line Single Event Upset Detection and Correction in Field Programmable Gate Array Configuration Memories Bradley F. Dutton* and Charles E. Stroud* Auburn University,

More information

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales SAN FRANCISCO, CA, USA Ediz Cetin & Oliver Diessel University of New South Wales Motivation & Background Objectives & Approach Our technique Results so far Work in progress CHANGE 2012 San Francisco, CA,

More information

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today.

Programmable Logic Devices FPGA Architectures II CMPE 415. Overview This set of notes introduces many of the features available in the FPGAs of today. Overview This set of notes introduces many of the features available in the FPGAs of today. The majority use SRAM based configuration cells, which allows fast reconfiguation. Allows new design ideas to

More information

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Authors: Robert L Akamine, Robert F. Hodson, Brock J. LaMeres, and Robert E. Ray www.nasa.gov Contents Introduction to the

More information

Altera FLEX 8000 Block Diagram

Altera FLEX 8000 Block Diagram Altera FLEX 8000 Block Diagram Figure from Altera technical literature FLEX 8000 chip contains 26 162 LABs Each LAB contains 8 Logic Elements (LEs), so a chip contains 208 1296 LEs, totaling 2,500 16,000

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 6 Coding I Chapter 3 Information Redundancy Part.6.1 Information Redundancy - Coding A data word with d bits is encoded

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology Analysis of Soft Error Mitigation Techniques for s in IBM Cu-08 90nm Technology Riaz Naseer, Rashed Zafar Bhatti, Jeff Draper Information Sciences Institute University of Southern California Marina Del

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip Srinivas Murthy Garimella Master s Thesis Defense Thesis Advisor: Dr. Charles E. Stroud Committee Members: Dr. Victor P. Nelson

More information

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes. Topics! SRAM-based FPGA fabrics:! Xilinx.! Altera. SRAM-based FPGAs! Program logic functions, using SRAM.! Advantages:! Re-programmable;! dynamically reconfigurable;! uses standard processes.! isadvantages:!

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline

CPE/EE 422/522. Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices. Dr. Rhonda Kay Gaede UAH. Outline CPE/EE 422/522 Introduction to Xilinx Virtex Field-Programmable Gate Arrays Devices Dr. Rhonda Kay Gaede UAH Outline Introduction Field-Programmable Gate Arrays Virtex Virtex-E, Virtex-II, and Virtex-II

More information

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA 1 Vatsya Tiwari M.Tech Student Department of computer science & engineering Abstract. This paper

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA

Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA Initial Single-Event Effects Testing and Mitigation in the Xilinx Virtex II-Pro FPGA J. George 1, S. Rezgui 2, G. Swift 3, C. Carmichael 2 For the North American Xilinx Test Consortium 1 The Aerospace

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Iswarya Gopal, Rajasekar.T, PG Scholar, Sri Shakthi Institute of Engineering and Technology, Coimbatore, Tamil Nadu, India Assistant

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN 255 CORRECTIONS TO FAULT SECURE OF MAJORITY LOGIC DECODER AND DETECTOR FOR MEMORY APPLICATIONS Viji.D PG Scholar Embedded Systems Prist University, Thanjuvr - India Mr.T.Sathees Kumar AP/ECE Prist University,

More information

Improving FPGA Design Robustness with Partial TMR

Improving FPGA Design Robustness with Partial TMR Improving FPGA Design Robustness with Partial TMR Brian Pratt, Michael Caffrey, Paul Graham, Keith Morgan, Michael Wirthlin Abstract This paper describes an efficient approach of applying mitigation to

More information

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes E. Jebamalar Leavline Assistant Professor, Department of ECE, Anna University, BIT Campus, Tiruchirappalli, India Email: jebilee@gmail.com

More information

ALMA Memo No Effects of Radiation on the ALMA Correlator

ALMA Memo No Effects of Radiation on the ALMA Correlator ALMA Memo No. 462 Effects of Radiation on the ALMA Correlator Joseph Greenberg National Radio Astronomy Observatory Charlottesville, VA July 8, 2003 Abstract This memo looks specifically at the effects

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions

Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Mitigation of SCU and MCU effects in SRAM-based FPGAs: placement and routing solutions Niccolò Battezzati Filomena Decuzzi Luca Sterpone Massimo Violante 1 Goal To provide solutions for increasing the

More information

Introduction to Partial Reconfiguration Methodology

Introduction to Partial Reconfiguration Methodology Methodology This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Define Partial Reconfiguration technology List common applications

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

EECS 150 Homework 7 Solutions Fall (a) 4.3 The functions for the 7 segment display decoder given in Section 4.3 are:

EECS 150 Homework 7 Solutions Fall (a) 4.3 The functions for the 7 segment display decoder given in Section 4.3 are: Problem 1: CLD2 Problems. (a) 4.3 The functions for the 7 segment display decoder given in Section 4.3 are: C 0 = A + BD + C + BD C 1 = A + CD + CD + B C 2 = A + B + C + D C 3 = BD + CD + BCD + BC C 4

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali.

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali. EE219A Spring 2008 Special Topics in Circuits and Signal Processing Lecture 9 FPGA Architecture Ranier Yap, Mohamed Ali Annoucements Homework 2 posted Due Wed, May 7 Now is the time to turn-in your Hw

More information

FPGA. Agenda 11/05/2016. Scheduling tasks on Reconfigurable FPGA architectures. Definition. Overview. Characteristics of the CLB.

FPGA. Agenda 11/05/2016. Scheduling tasks on Reconfigurable FPGA architectures. Definition. Overview. Characteristics of the CLB. Agenda The topics that will be addressed are: Scheduling tasks on Reconfigurable FPGA architectures Mauro Marinoni ReTiS Lab, TeCIP Institute Scuola superiore Sant Anna - Pisa Overview on basic characteristics

More information

Error Correction Using Extended Orthogonal Latin Square Codes

Error Correction Using Extended Orthogonal Latin Square Codes International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 9, Number 1 (2016), pp. 55-62 International Research Publication House http://www.irphouse.com Error Correction

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

LogiCORE IP Soft Error Mitigation Controller v3.2

LogiCORE IP Soft Error Mitigation Controller v3.2 LogiCORE IP Soft Error Mitigation Controller v. Product Guide PG06 April 4, 0 Table of Contents Chapter : Overview Memory Types.................................................................... 6 Mitigation

More information

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat

Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat Hamming FSM with Xilinx Blind Scrubbing - Trick or Treat Jano Gebelein Infrastructure and Computer Systems in Data Processing (IRI) Frankfurt University Germany January 31st, 2012 Mannheim, Germany 1 Outline

More information

Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System

Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System Soft Error Detection And Correction For Configurable Memory Of Reconfigurable System Babu. M, Saranya. S, Preethy. V, Gurumoorthy. J Abstract: The size of integrated Circuits has developed rapidly and

More information

LogiCORE IP Soft Error Mitigation Controller v4.0

LogiCORE IP Soft Error Mitigation Controller v4.0 LogiCORE IP Soft Error Mitigation Controller v4.0 Product Guide for Vivado Design Suite Table of Contents IP Facts Chapter : Overview Memory Types....................................................................

More information

LA-UR- Title: Author(s): Intended for: Approved for public release; distribution is unlimited.

LA-UR- Title: Author(s): Intended for: Approved for public release; distribution is unlimited. LA-UR- Approved for public release; distribution is unlimited. Title: Author(s): Intended for: Los Alamos National Laboratory, an affirmative action/equal opportunity employer, is operated by the Los Alamos

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information

FPGA Architecture Overview. Generic FPGA Architecture (1) FPGA Architecture

FPGA Architecture Overview. Generic FPGA Architecture (1) FPGA Architecture FPGA Architecture Overview dr chris dick dsp chief architect wireless and signal processing group xilinx inc. Generic FPGA Architecture () Generic FPGA architecture consists of an array of logic tiles

More information

Built-In Self-Test for System-on-Chip: A Case Study

Built-In Self-Test for System-on-Chip: A Case Study Built-In Self-Test for System-on-Chip: A Case Study Charles Stroud, John Sunwoo, Srinivas Garimella, and Jonathan Harris Dept. of Electrical and Computer Engineering Auburn University, Alabama USA ABSTRACT

More information

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Ch.Srujana M.Tech [EDT] srujanaxc@gmail.com SR Engineering College, Warangal. M.Sampath Reddy Assoc. Professor, Department

More information

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Kripa K B 1, Akshatha K N 2,Nazma S 3 1 ECE dept, Srinivas Institute of Technology 2 ECE dept, KVGCE 3 ECE dept, Srinivas Institute

More information

Analysis and Implementation of Built-In Self-Test for Block Random Access Memories in Virtex-5 Field Programmable Gate Arrays. Justin Lewis Dailey

Analysis and Implementation of Built-In Self-Test for Block Random Access Memories in Virtex-5 Field Programmable Gate Arrays. Justin Lewis Dailey Analysis and Implementation of Built-In Self-Test for Block Random Access Memories in Virtex-5 Field Programmable Gate Arrays by Justin Lewis Dailey A thesis submitted to the Graduate Faculty of Auburn

More information

Reliability Improvement in Reconfigurable FPGAs

Reliability Improvement in Reconfigurable FPGAs Reliability Improvement in Reconfigurable FPGAs B. Chagun Basha Jeudis de la Comm 22 May 2014 1 Overview # 2 FPGA Fabrics BlockRAM resource Dedicated multipliers I/O Blocks Programmable interconnect Configurable

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Dependable VLSI Platform using Robust Fabrics

Dependable VLSI Platform using Robust Fabrics Dependable VLSI Platform using Robust Fabrics Director H. Onodera, Kyoto Univ. Principal Researchers T. Onoye, Y. Mitsuyama, K. Kobayashi, H. Shimada, H. Kanbara, K. Wakabayasi Background: Overall Design

More information

ESE532: System-on-a-Chip Architecture. Today. Message. Graph Cycles. Preclass 1. Reminder

ESE532: System-on-a-Chip Architecture. Today. Message. Graph Cycles. Preclass 1. Reminder ESE532: System-on-a-Chip Architecture Day 8: September 26, 2018 Spatial Computations Today Graph Cycles (from Day 7) Accelerator Pipelines FPGAs Zynq Computational Capacity 1 2 Message Custom accelerators

More information

An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication

An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication Shubham Fadnavis, M. Tech. (Final Year) Department of Electronics & Communication, Acropolis Institute of Technology

More information

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system

A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system A software platform to support dynamically reconfigurable Systems-on-Chip under the GNU/Linux operating system 26th July 2005 Alberto Donato donato@elet.polimi.it Relatore: Prof. Fabrizio Ferrandi Correlatore:

More information

FPGA based systems become very popular in many technical

FPGA based systems become very popular in many technical INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2014, VOL. 60, NO. 1, PP. 103 108 Manuscript received January 10, 2014; revised March, 2014. DOI: 10.2478/eletel-2014-0012 Tracing Fault Effects in FPGA

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Product Obsolete/Under Obsolescence

Product Obsolete/Under Obsolescence 0 APPLICATION NOTE XAPP100 December 3, 1998 (Version 1.4) 0 14* Application Note by Peter Alfke Summary This Application Note describes the various Xilinx product families. Differences between the families

More information

Dynamic Reconfigurable Computing Architecture for Aerospace Applications

Dynamic Reconfigurable Computing Architecture for Aerospace Applications Dynamic Reconfigurable Computing Architecture for Aerospace Applications Brock J. LaMeres 406-994-5987 lameres@ece.montana.edu Clint Gauer 406-994-6495 gauer33@gmail.com Electrical & Computer Engineering

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

Presentation Outline Overview of FPGA Architectures Virtex-4 & Virtex-5 Overview of BIST for FPGAs BIST Configuration Generation Output Response Analy

Presentation Outline Overview of FPGA Architectures Virtex-4 & Virtex-5 Overview of BIST for FPGAs BIST Configuration Generation Output Response Analy PRODUCTION SYSTEM-LEVELEVEL USE OF BUILT-IN SELF-TESTEST FOR IRTEX-4 4 & VIRTEX VIRTEX 5 FPGAS IRTEX-5 FPGA Chuck Stroud, Brad Dutton, Mary Pulukuri, Brooks Garrison, and Yao Jia (the BIST Dudes & Dudettes)

More information

Implementation of single bit Error detection and Correction using Embedded hamming scheme

Implementation of single bit Error detection and Correction using Embedded hamming scheme Implementation of single bit Error detection and Correction using Embedded hamming scheme Anoop HK 1, Subodh kumar panda 2 and Vasudeva G 1 M.tech(VLSI & ES), BNMIT, Bangalore 2 Assoc Prof,Dept of ECE,

More information

Fault Grading FPGA Interconnect Test Configurations

Fault Grading FPGA Interconnect Test Configurations * Fault Grading FPGA Interconnect Test Configurations Mehdi Baradaran Tahoori Subhasish Mitra* Shahin Toutounchi Edward J. McCluskey Center for Reliable Computing Stanford University http://crc.stanford.edu

More information

On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs

On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPAs K. Siozios 1, D. Soudris 1 and M. Hüebner 2 1 School of ECE, National Technical University of Athens reece Email: {ksiop, dsoudris}@microlab.ntua.gr

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication

Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication Abstract: Adaptive Multi-bit Crosstalk-Aware Error Control Coding Scheme for On-Chip Communication The presence of different noise sources and continuous increase in crosstalk in the deep sub micrometer

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 18 Chapter 7 Case Studies Part.18.1 Introduction Illustrate practical use of methods described previously Highlight fault-tolerance

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

Built-In Self-Test of Programmable Input/Output Tiles in Virtex-5 FPGAs

Built-In Self-Test of Programmable Input/Output Tiles in Virtex-5 FPGAs Built-In Self-Test of Programmable Input/Output Tiles in Virtex-5 FPGAs Bradley F. Dutton and Charles E. Stroud Dept. of Electrical and Computer Engineering Auburn University Auburn, Alabama 36849 duttobf@auburn.edu

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Mano & Ciletti Chapter 7 By Suleyman TOSUN Ankara University Outline RAM Memory decoding Error detection and correction ROM Programmable Logic Array (PLA) Programmable Array

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

Self-Checking Fault Detection using Discrepancy Mirrors

Self-Checking Fault Detection using Discrepancy Mirrors Manuscript to Appear in the 2005 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA 05), June 2005, Las Vegas, Nevada. Copyright and all rights therein are

More information

APPLICATION NOTE. Gate Count Capacity Metrics for FPGAs. Introduction. Maximum Logic Gates

APPLICATION NOTE. Gate Count Capacity Metrics for FPGAs. Introduction. Maximum Logic Gates APPLICATION NOTE Gate Count Capacity Metrics for FPGAs XAPP 059 Feb. 1, 1997 (Version 1.1) Application Note Summary Three metrics are defined to describe FPGA device capacity: Maximum Logic Gates, Maximum

More information