Serial Communication. Spring, 2018 Prof. Jungkeun Park

Size: px
Start display at page:

Download "Serial Communication. Spring, 2018 Prof. Jungkeun Park"

Transcription

1 Serial Communication Spring, 2018 Prof. Jungkeun Park

2 Serial Communication Serial communication Transfer of data over a single wire for each direction (send / receive) Process of sending data one bit at a time, sequentially, over a communication channel or computer bus Convert parallel data to a serial bit stream, and vice versa Serial vs. parallel Lower cable cost Asynchronous communication is possible Higher clock rate 2

3 Examples of Serial Communication RS-232 / 422 / 423 / 485 I2C Inter-Integrated Circuit SPI Serial Peripheral Interface Bus Universal Serial Bus (USB) FireWire (IEEE1394) A/V component comm. Ethernet MIDI Electronic musical instruments Serial ATA (SATA) Mass storage devices PCI Express Computer expansion bus ARINC 818 Avionics Digital Video Bus (ADVB) SpaceWire Spacecraft communication network MIL-STD-1553 Military avionics, spacecraft on-board data handling 3

4 Synchronous vs. Asynchronous Synchronous sender and receiver share common clock data stream is synchronized with the common clock Asynchronous sender and receiver have local clock with the same frequency (baud rate) start / stop bit to indicate frame start / end phase lock receiver s clock to the phase of transmitted data Universal Asynchronous Receiver Transmitter (UART) 4

5 UART Universal Asynchronous Receiver/Transmitter translate data between parallel and serial forms in logic levels data format and transmission speeds are configurable commonly used with external line driver circuit logic level signals (3.3V, 5V) external signaling levels e.g.) RS-232 / RS-422 / RS-485 / irda (infrared) parallel data parallel data UART logic level serial data external driver external signaling level external driver logic level serial data UART Sender Receiver 5

6 UART Application UART application block diagram in AT91SAM9260 Driver SW External driver circuit 6

7 UART Structure UART usually contains the following components Clock generator Input and output shift registers Transmit/receive control Read/write logic Optional buffers Transmit/receive buffers Parallel data bus buffer FIFO buffer memory 7

8 UART Structure (Example) UART in AT91SAM9260 8

9 UART I/O Lines Data send/receive Flow control 9

10 Baud Rate Generator Baud rate is configurable 10

11 Shift Registers Serial-in / parallel-out Parallel out Serial in Parallel-in / serial-out Parallel in Serial out 11

12 Error Detection Error caused by noisy medium Parity bit to detect a single bit error more than two bits error cannot be detected Noisy Parity serial cable Parity OK 1 byte generation 1 byte check Error Sender Data bits Parity bit Receiver 12

13 Error Detection (2) Even parity even number of 1 bits e.g.) Odd parity odd number of 1 bits e.g.) Sender and receiver must use the same parity type Parity check Check if the number of 1 bits is even / odd e..g) Single bit error in even parity number of 1 is odd error! Single bit error 13

14 RS-232 Series of standards for serial binary single-ended data and control signals connecting between a DTE (Data Terminal Equipment) and a DCE (Data Circuit-terminating Equipment) The current version of the standard is TIA-232-F Interface between DTE and DCE employing serial binary data interchange, issued in 1997 The standard defines electrical characteristics and timing of signals meaning of signals physical size and pin out of connectors 14

15 RS-232 Example Usage Telex, UNIX workstation terminal, PC modem 15

16 RS-232 Signal Levels Unbalanced voltage level of a data bit is referenced to local ground Negative voltage levels logic high: -5 to -15V (typically -12V) logic low: +5 to +15V (typically +12V) sending character K = 0x4b =

17 Connector Types DE Male connector Female connector DB-25 RJ-45 17

18 Pin Connection Signal function 25 pin 9 pin Direction from to Tx Transmitted Data 2 3 DTE DCE Rx Received Data 3 2 DCE DTE RTS Request To Send 4 7 DTE DCE CTS Clear To Send 5 8 DCE DTE DTR Data Terminal Ready 20 4 DTE DCE DSR Data Set Ready 6 6 DCE DTE DCD Data Carrier Detect 8 1 DCE DTE RI Ring Indicator 22 9 DCE DTE FG Frame Ground (chassis) 1 - Common Minimum connection SG Signal Ground 7 5 Common 18

19 Flow Control (Handshaking) Hardware flow control RTS (Request To Send) transmitter wish to send CTS (Clear To Send) receiver is ready Software flow control (XON / XOFF) Suspend transmission Ctrl-S (0x13) Clear to resume Ctrl-Q (0x11) No handshaking No flow control 3-wire (Tx, Rx, GND) 19

20 RS-232 Interface Chip (Example) 20

21 RS-422 Twisted pair / differential pair Use difference between two lines to represent a logic level c.f.) RS-232 is referenced to local ground Common-mode rejection Any noise or interference will affect both wires of the twisted pair but the difference between them will be less affected Termination resistor is required (100 ~ 200Ω) 21

22 RS-422 Voltage Levels Voltage levels -6V to +6V Voltage difference between an RS-422 twisted pair is between ±4 V and ±12 V between the transmission lines 22

23 Bidirectional RS-422 Interface 23

24 RS-485 Master-slave architecture Allows multiple systems (nodes) to exchange data over a single twisted pair 24

25 RS-485 Connection Receiver Out Receiver Enable Data Enable Data In 25

26 RS-232 / RS-422 / RS-485 RS-232 RS-422 RS-485 Network topology Point-to-point Multi-dropped Multi-point Maximum devices ~ 256 Signal reference local ground differential pair differential pair Signal levels logic high -5V to -15V -2V to -6V -7V to +12V logic low +5V to +15V +2V to +6V Maximum distance (m) Baud rate (bps) 75 ~ K ~ 10M 100K ~ 10M 26

27 IrDA Infrared Data Association Optical wireless communication using infrared Device-to-device communication over short distances Characteristics Range: standard: 1 m low power to low power: 0.2 m standard to low power: 0.3 m 10 GigaIR: up to several meters Angle: minimum cone ±15 Speed: 2.4 kbit/s to 1 Gbit/s Modulation: baseband, no carrier Infrared window Wavelength: nm 27

28 IrDA Protocol Stack 28

29 IrPHY Infrared Physical Layer Specification optical link definitions, modulation, coding, cyclic redundancy check (CRC) and the framer Modulation / coding scheme SIR: kbit/s, asynchronous, RZI, UART-like, 3/16 pulse MIR: Mbit/s, RZI, 1/4 pulse, HDLC bit stuffing FIR: 4 Mbit/s, 4PPM VFIR: 16 Mbit/s, NRZ, HHH(1,13) UFIR: 96 Mbit/s, NRZI, 8B10B GigaIR: 512 Mbit/s 1Gbit/s, NRZI, 2-ASK, 4-ASK, 8B10B 29

30 IrDA Encoding RZ (Return-to-zero) / RZI (Return-to-zero Inverted) NRZ (Non-return-to-zero) / NRZI (NRZ Inverted) 4PPM (Pulse Position Modulation) 30

31 Serial Peripheral Interface (SPI) Serial peripheral interface Synchronous serial communication Short distance communication Primarily in embedded system Developed by Motorola in the mid 1980s (de facto standard) Typical applications Secure digital card Liquid crystal displays 31

32 Master-Slave Architecture Full duplex mode using a master-slave architecture Single master Originates the frame for reading and writing 32

33 Interface Four logic signals Logic signal Description Output from SCLK Serial Clock Master MOSI Master Out Slave In (Data) Master MISO Master In Slave Out (Data) Slave SS Slave Select (often active low) Master Other signal names SCK SDI, DI, DIN, SI SDO, DO, DOUT, SO SSEL, CS, CE, nss, /SS, SS# 33

34 Operation SS signal selects a slave Single slave SS pin may be fixed to logic low Some slave require of falling edge of SS Multiple slave Independent SS signal is required 34

35 Data Transmission The bus master configures the clock The master selects the slave device with a logic level 0 Waiting period before issuing clock cycles Full duplex data transmission during each clock cycle Master sends a bit on MOSI and slave reads it Slave sends a bit on MISO and master reads it 35

36 Data Buffers Two shift registers in a virtually ring topology Data is usually sifted out with MSB first On the clock edge Both master and slave shift a bit and output it on transmission line On the next clock edge The bit is sampled from the transmission line The shift registers are reloaded if more data needs to be exchanged 36

37 Clock Polarity and Phase Conventional names CPOL: clock polarity CPOL = 0: clock idles at 0 CPOL = 1: clock idles at 1 CPHA: clock phase CPHA = 0: in side captures data on the leading edge of the clock CPHA = 1: in side captures data on the trailing edge of the clock Mode numbers SPI Mode Clock Polarity (CPOL/CKP) Clock Phase (CPHA) Clock Edge (CKE/NCPHA)

38 Clock Polarity and Phase Timing diagram 38

39 Bit-Banging Code example /* * Simultaneously transmit and receive a byte on the SPI. * * Polarity and phase are assumed to be both 0, i.e.: * - input data is captured on rising edge of SCLK. * - output data is propagated on falling edge of SCLK. * * Returns the received byte. */ uint8_t SPI_transfer_byte(uint8_t byte_out) { uint8_t byte_in = 0; uint8_t bit; for (bit = 0x80; bit; bit >>= 1) { /* Shift-out a bit to the MOSI line */ write_mosi((byte_out & bit)? HIGH : LOW); /* Delay for at least the peer's setup time */ delay(spi_sclk_low_time); 39

40 Bit-Banging Code example /* Pull the clock line high */ write_sclk(high); /* Shift-in a bit from the MISO line */ if (read_miso() == HIGH) byte_in = bit; /* Delay for at least the peer's hold time */ delay(spi_sclk_high_time); } /* Pull the clock line low */ write_sclk(low); } return byte_in; 40

41 Other Characteristics Interrupts are not covered by the SPI standard MISO pins of the slaves are required to be tri-state 41

42 Pros and Cons Advantages Full duplex Higher throughput than I2C or SMBus Arbitrary choice of message size Extremely simple hardware interfacing Lower power requirements than I2C or SMBus No arbitration Slaves use master s clock Slaves do not need unique address Transceivers are not needed Uses only four pins on IC packages At most one unique bus signal per device (SS) 42

43 Pros and Cons Disadvantages No in-band addressing No hardware flow control No hardware slave acknowledgement Only one master device No error checking Only handles short distances Interrupt is not supported 43

Amarjeet Singh. January 30, 2012

Amarjeet Singh. January 30, 2012 Amarjeet Singh January 30, 2012 Website updated - https://sites.google.com/a/iiitd.ac.in/emsys2012/ Lecture slides, audio from last class Assignment-2 How many of you have already finished it? Final deadline

More information

Sender Receiver Sender

Sender Receiver Sender EEE 410 Microprocessors I Spring 04/05 Lecture Notes # 19 Outline of the Lecture Interfacing the Serial Port Basics of Serial Communication Asynchronous Data Communication and Data Framing RS232 and other

More information

Serial Communication. Simplex Half-Duplex Duplex

Serial Communication. Simplex Half-Duplex Duplex 1.5. I/O 135 Serial Communication Simplex Half-Duplex Duplex 136 Serial Communication Master-Slave Master Master-Multi-Slave Master Slave Slave Slave (Multi-)Master Multi-Slave Master Slave Slave Slave

More information

or between microcontrollers)

or between microcontrollers) : Communication Interfaces in Embedded Systems (e.g., to interface with sensors and actuators or between microcontrollers) Spring 2016 : Communication Interfaces in Embedded Systems Spring (e.g., 2016

More information

Understanding SPI with Precision Data Converters

Understanding SPI with Precision Data Converters Understanding SPI with Precision Data Converters By: Tony Calabria Presented by: 1 Communication Comparison SPI - Serial Peripheral Interface Bus I2C - Inter- Integrated Circuit Parallel Bus Advantages

More information

Real-Time Embedded Systems. CpE-450 Spring 06

Real-Time Embedded Systems. CpE-450 Spring 06 Real-Time Embedded Systems CpE-450 Spring 06 Class 5 Bruce McNair bmcnair@stevens.edu 5-1/42 Interfacing to Embedded Systems Distance 100 m 10 m 1 m 100 cm 10 cm "Transmission line" capacitance ( C) Distance

More information

Serial Interfaces Part 1. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Serial Interfaces Part 1. ECE 153B Sensor & Peripheral Interface Design Winter 2016 Serial Interfaces Part 1 ECE 153B Sensor & Peripheral Interface Design Serial Interfaces Simple Serial Interfaces RS-232C (UART) Provides for point to point communications, primarily Among the simplest

More information

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features of this USART interface, which is widely used for serial

More information

Growing Together Globally Serial Communication Design In Embedded System

Growing Together Globally Serial Communication Design In Embedded System Growing Together Globally Serial Communication Design In Embedded System Contents Serial communication introduction......... 01 The advantages of serial design......... 02 RS232 interface......... 04 RS422

More information

Serial Communication Prof. James L. Frankel Harvard University. Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved.

Serial Communication Prof. James L. Frankel Harvard University. Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved. Serial Communication Prof. James L. Frankel Harvard University Version of 2:30 PM 6-Oct-2015 Copyright 2015 James L. Frankel. All rights reserved. Overview of the Serial Protocol Simple protocol for communicating

More information

4.3 Digital Communication

4.3 Digital Communication Data Acquisition & Computer Control 11 4.3 Digital Communication Why digital communication (often termed digital input/output, or DIO)? Because the language of computers (and many peripheral devices) is

More information

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this?

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this? Introduction the Serial Communications Huang Sections 9.2, 10.2, 11.2 SCI Block User Guide SPI Block User Guide IIC Block User Guide o Parallel vs Serial Communication o Synchronous and Asynchronous Serial

More information

Universität Dortmund. IO and Peripheral Interfaces

Universität Dortmund. IO and Peripheral Interfaces IO and Peripheral Interfaces Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: Microprocessor 8,16,32 bit architecture Usually simple in-order microarchitecture,

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Prabal Dutta University of Michigan Lecture 10: Serial buses October 2, 2014 Some material from: Brehob, Le, Ramadas, Tikhonov & Mahal 1 Announcements Special

More information

Serial Communication. Simplex Half-Duplex Duplex

Serial Communication. Simplex Half-Duplex Duplex 1.5. I/O 128 Serial Communication Simplex Half-Duplex Duplex 129 Serial Communication Master-Slave Master Master-Multi-Slave Master Slave Slave Slave (Multi-)Master Multi-Slave Master Slave Slave Slave

More information

Basics of UART Communication

Basics of UART Communication Basics of UART Communication From: Circuit Basics UART stands for Universal Asynchronous Receiver/Transmitter. It s not a communication protocol like SPI and I2C, but a physical circuit in a microcontroller,

More information

Serial Communications

Serial Communications Serial Communications p. 1/2 Serial Communications Prof. Stephen A. Edwards sedwards@cs.columbia.edu Columbia University Spring 2007 Early Serial Communication Serial Communications p. 2/2 Data Terminal

More information

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Microcontroller It is essentially a small computer on a chip Like any computer, it has memory,

More information

PCI Express 4-Port Industrial Serial I/O Cards

PCI Express 4-Port Industrial Serial I/O Cards PCI Express 4-Port Industrial Serial I/O Cards The PCIe-400i and PCIe-400i-SI PCI Express 4-port industrial serial I/O cards are plug & play high-speed serial I/O expansion cards for the PCI Express bus.

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 7 Design of Microprocessor-Based Systems Matt Smith University of Michigan Serial buses, digital design Material taken from Brehob, Dutta, Le, Ramadas, Tikhonov & Mahal 1 Timer Program //Setup Timer

More information

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Serial Data Transmission (Stručný přehled) České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Serial Data Transmission (Stručný přehled) České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Serial Data Transmission (Stručný přehled) České vysoké učení technické Fakulta elektrotechnická Ver.1.10 J. Zděnek, 2017 Serial data transfer - principle Serial data

More information

Serial Communications

Serial Communications Serial Communications p. 1/2 Serial Communications CSEE W4840 Prof. Stephen A. Edwards Columbia University Early Serial Communication Serial Communications p. 2/2 Data Terminal Equipment Serial Communications

More information

Serial Interfacing. Asynchronous Frame

Serial Interfacing. Asynchronous Frame Serial Interfacing Serial Data Transfer used by keyboards, plotters, modems and other peripherals with low data transfer rates (low bandwidth) 2 Types: Asynchronous CPU and device are not using a common

More information

Data Communications & Computer Networks. Digital Data Communications Techniques

Data Communications & Computer Networks. Digital Data Communications Techniques Data Communications & Computer Networks Chapter 6 Digital Data Communications Techniques Fall 2008 Agenda Preface Asynchronous & Synchronous transmission Error Detection & Correction Line configurations

More information

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications o Asynchronous Serial (SCI, RS-232) o Synchronous Serial (SPI, IIC) The MC9S12

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Mark Brehob University of Michigan Timers Material taken from Dreslinski, Dutta, Le, Ramadas, Smith, Tikhonov & Mahal 1 Agenda A bit on timers Project overview

More information

< W3150A+ / W5100 Application Note for SPI >

< W3150A+ / W5100 Application Note for SPI > < W3150A+ / W5100 Application Note for SPI > Introduction This application note describes how to set up the SPI in W3150A+ or W5100. Both the W3150A+ and W5100 have same architecture. W5100 is operated

More information

Raspberry Pi - I/O Interfaces

Raspberry Pi - I/O Interfaces ECE 1160/2160 Embedded Systems Design Raspberry Pi - I/O Interfaces Wei Gao ECE 1160/2160 Embedded Systems Design 1 I/O Interfaces Parallel I/O and Serial I/O Parallel I/O: multiple input/output simultaneously

More information

Interfacing Techniques in Embedded Systems

Interfacing Techniques in Embedded Systems Interfacing Techniques in Embedded Systems Hassan M. Bayram Training & Development Department training@uruktech.com www.uruktech.com Introduction Serial and Parallel Communication Serial Vs. Parallel Asynchronous

More information

18-349: Introduction to Embedded Real-Time Systems

18-349: Introduction to Embedded Real-Time Systems 18-349: Introduction to Embedded Real-Time Systems Embedded Real-Time Systems Lecture 5: Serial Buses Anthony Rowe Electrical and Computer Engineering Carnegie Mellon University Last Lecture ARM ASM Part

More information

Serial Communications

Serial Communications 1 Serial Interfaces 2 Embedded systems often use a serial interface to communicate with other devices. Serial Communications Serial implies that it sends or receives one bit at a time. Serial Interfaces

More information

EZL-200F Application Notes (003) Serial Interface (RS232/RS422/RS485)

EZL-200F Application Notes (003) Serial Interface (RS232/RS422/RS485) Application Notes (003) Serial Interface (RS232/RS422/RS485) Version 2.0 Sollae Systems Co., Ltd. 1. Overview supports three serial interfaces: RS232, RS422, and RS485. You can select the interface you

More information

OUTLINE. SPI Theory SPI Implementation STM32F0 SPI Resources System Overview Registers SPI Application Initialization Interface Examples

OUTLINE. SPI Theory SPI Implementation STM32F0 SPI Resources System Overview Registers SPI Application Initialization Interface Examples SERIAL PERIPHERAL INTERFACE (SPI) George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners OUTLINE SPI Theory SPI Implementation STM32F0 SPI Resources System

More information

Module Introduction. PURPOSE: The intent of this module, is to explain several of the important features of Freescale s i.mx1 applications processor.

Module Introduction. PURPOSE: The intent of this module, is to explain several of the important features of Freescale s i.mx1 applications processor. Module Introduction PURPOSE: The intent of this module, is to explain several of the important features of Freescale s i.mx1 applications processor. OBJECTIVES: - Describe the features and functions of

More information

More on IO: The Universal Serial Bus (USB)

More on IO: The Universal Serial Bus (USB) ecture 37 Computer Science 61C Spring 2017 April 21st, 2017 More on IO: The Universal Serial Bus (USB) 1 Administrivia Project 5 is: USB Programming (read from a mouse) Optional (helps you to catch up

More information

Universal Asynchronous Receiver Transmitter Communication

Universal Asynchronous Receiver Transmitter Communication Universal Asynchronous Receiver Transmitter Communication 13 October 2011 Synchronous Serial Standard SPI I 2 C Asynchronous Serial Standard UART Asynchronous Resynchronization Asynchronous Data Transmission

More information

Introduction to I2C & SPI. Chapter 22

Introduction to I2C & SPI. Chapter 22 Introduction to I2C & SPI Chapter 22 Issues with Asynch. Communication Protocols Asynchronous Communications Devices must agree ahead of time on a data rate The two devices must also have clocks that are

More information

Chapter 11: Input/Output Organisation. Lesson 05: Asynchronous RS232C Serial Port data transfer

Chapter 11: Input/Output Organisation. Lesson 05: Asynchronous RS232C Serial Port data transfer Chapter 11: Input/Output Organisation Lesson 05: Asynchronous RS232C Serial Port data transfer Objective Understand the RS232C asynchronous data transfer and signals Learn the RS232C serial port communication

More information

UART TO SPI SPECIFICATION

UART TO SPI SPECIFICATION UART TO SPI SPECIFICATION Author: Dinesh Annayya dinesha@opencores.org Table of Contents Preface... 3 Scope... 3 Revision History... 3 Abbreviations... 3 Introduction... 3 Architecture... 4 Baud-rate generator

More information

IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4

IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4 IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4 4.1. Serial data communication basics ----------- 1 4.2. UART ------------------------------------------------ 4 4.3. Serial Peripheral

More information

Product Manual. USB to Optical Adapter Industrial Isolated RS- 232/422/485. Coolgear, Inc. Version 2.1 December 2018 Model Number: USB-COMi-Si-M

Product Manual. USB to Optical Adapter Industrial Isolated RS- 232/422/485. Coolgear, Inc. Version 2.1 December 2018 Model Number: USB-COMi-Si-M USB to Optical Adapter Industrial Isolated RS- 232/422/485 Product Manual Coolgear, Inc. Version 2.1 December 2018 Model Number: USB-COMi-Si-M 2 USB-COMi-SI-M Product Manual Revision History Revision Date

More information

Serial I/O. 4: Serial I/O. CET360 Microprocessor Engineering. J. Sumey

Serial I/O. 4: Serial I/O. CET360 Microprocessor Engineering. J. Sumey 4: Serial I/O CET360 Microprocessor Engineering J. Sumey Introduction serial, i.e. bit-at-a-time, interfacing techniques are useful when parallel interfacing limitations become problematic distance limitations

More information

EE 456 Fall, Table 1 SPI bus signals. Figure 1 SPI Bus exchange of information between a master and a slave.

EE 456 Fall, Table 1 SPI bus signals. Figure 1 SPI Bus exchange of information between a master and a slave. EE 456 Fall, 2009 Notes on SPI Bus Blandford/Mitchell The Serial Peripheral Interface (SPI) bus was created by Motorola and has become a defacto standard on many microcontrollers. This is a four wire bus

More information

Digital Storage Oscilloscope

Digital Storage Oscilloscope Digital Storage Oscilloscope GDS-3000 Series SERIAL DECODE MANUAL GW INSTEK PART NO. 82DS-SBD00U01 ISO-9001 CERTIFIED MANUFACTURER October 2010 This manual contains proprietary information, which is protected

More information

ELE492 Embedded System Design

ELE492 Embedded System Design Overview ELE9 Embedded System Design Examples of Human I/O Interfaces Types of System Interfaces Use of standards RS Serial Communication Overview of SPI, I C, L, and CAN Class //0 Eugene Chabot Examples

More information

Unit 19 - Serial Communications 19.1

Unit 19 - Serial Communications 19.1 Unit 19 - Serial Communications 19.1 19.2 Serial Interfaces Embedded systems often use a serial interface to communicate with other devices. Serial implies that it sends or receives one bit at a time.

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

The Wireless Connectivity Expert

The Wireless Connectivity Expert The Wireless Connectivity Expert 48511 Warm Springs Blvd., Suite 206, Fremont CA 94539 Tel: (510) 490-8024 Fax: (510) 623-7268 Website: http://www.actisys.com/ E-mail: irda-info@actisys.com ACT-IR100SD

More information

Select a Data Communication Interface

Select a Data Communication Interface Printer Setup and Operation Select a Data Communication Interface Select a Data Communication Interface You may connect your print engine to a computer using one or more of the available connections. The

More information

RS-232 DATA COMMUNICATIONS WITH THE TANDY COLOR COMPUTER

RS-232 DATA COMMUNICATIONS WITH THE TANDY COLOR COMPUTER By Tom Gunnison 1998 DISCLAIMER These plans and software are provided "as is" with no guarantee of fitness for the purpose either explicit or implied. I disclaim any responsibility for losses incurred

More information

Serial Peripheral Interface. What is it? Basic SPI. Capabilities. Protocol. Pros and Cons. Uses

Serial Peripheral Interface. What is it? Basic SPI. Capabilities. Protocol. Pros and Cons. Uses Serial Peripheral Interface What is it? Basic SPI Capabilities Protocol Serial Peripheral Interface http://upload.wikimedia.org/wikipedia/commons/thumb/e/ed/ SPI_single_slave.svg/350px-SPI_single_slave.svg.png

More information

Mbed Microcontroller SPI. Spring, 2018 Prof. Jungkeun Park

Mbed Microcontroller SPI. Spring, 2018 Prof. Jungkeun Park Mbed Microcontroller SPI Spring, 2018 Prof. Jungkeun Park SPI Logic Signals Full duplex mode using a master-slave architecture Single master Originates the frame for reading and writing https://en.wikipedia.org/wiki/serial_peripheral_interface_bus

More information

8051SERIAL PORT PROGRAMMING

8051SERIAL PORT PROGRAMMING 8051SERIAL PORT PROGRAMMING Basics of Serial Communication Computers transfer data in two ways: Parallel Often 8 or more lines (wire conductors) are used to transfer data to a device that is only a few

More information

PCI Express 16-Port Serial I/O Cards

PCI Express 16-Port Serial I/O Cards PCI Express 16-Port Serial I/O Cards The PCIe-1600 PCI Express 16-port serial I/O card is a plug & play high-speed serial I/O expansion card for PCI Express bus. Connecting to a PCI Express bus on your

More information

McMaster University Embedded Systems. Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016

McMaster University Embedded Systems. Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016 McMaster University Embedded Systems Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016 Serial Peripherals I2C Inter-IC Bus X/Y Coord. RGB data LCD config controller LCD data controller

More information

Serial Buses in Industrial and Automotive Applications

Serial Buses in Industrial and Automotive Applications Serial Buses in Industrial and Automotive Applications Presented by Neelima Chaurasia Class: #368 1 Overview As consumer electronics, computer peripherals, vehicles and industrial applications add embedded

More information

NS9750B-0. Use in conjunction with: Errata , Rev G. Release date: May Phone: Web:

NS9750B-0. Use in conjunction with: Errata , Rev G. Release date: May Phone: Web: NS9750B-0 Errata 90000530, Rev G Release date: May 2006 Use in conjunction with: NS9750 Hardware Reference, Rev. E Part number: 90000624_E Released: April 2006 SPI slave data output high impedance control

More information

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI Embedded Systems and Software Serial Interconnect Buses I 2 C (SMB) and SPI I2C, SPI, etc. Slide 1 Provide low-cost i.e., low wire/pin count connection between IC devices There are many of serial bus standards

More information

Microcontrollers and Interfacing

Microcontrollers and Interfacing Microcontrollers and Interfacing Week 10 Serial communication with devices: Serial Peripheral Interconnect (SPI) and Inter-Integrated Circuit (I 2 C) protocols College of Information Science and Engineering

More information

Serial Interfacing. Pulse width of 1 bit

Serial Interfacing. Pulse width of 1 bit ١ ٢ Asynchronous Frame 10 bits 7E1 (7 data bits, even parity, 1 stop bit) Serial Data Transfer used by keyboards, plotters, modems and other peripherals with low data transfer rates (low bandwidth) * *

More information

Advanced Electronic Communications Systems Wayne Tomasi Sixth Edition

Advanced Electronic Communications Systems Wayne Tomasi Sixth Edition Advanced Electronic Communications Systems Wayne Tomasi Sixth Edition Pearson Education Limited Edinburgh Gate Harlow Essex CM20 2JE England and Associated Companies throughout the world Visit us on the

More information

Integrity Instruments Application Notes. Release 1

Integrity Instruments Application Notes. Release 1 Integrity Instruments Application Notes Release 1 What is EIA/TIA/RS-485 What is EIA/TIA/RS-422 Half Duplex and Full Duplex Communication Asynchronous Communicatin Grounding EIA/TIA/RS-485/422 Shielding

More information

Manual Industry Interfaces

Manual Industry Interfaces Manual Industry Interfaces W&T Release. Type 0, 0 0, 00 0, 0 0, 0 Industry Interfaces 0/0 by Wiesemann & Theis GmbH Subject to errors and changes: Since we can make mistakes, none of our statements should

More information

SeaLINK+8/USB. Sealevel Systems, Inc 155 Technology Place P.O. Box 830 Liberty, SC USA

SeaLINK+8/USB. Sealevel Systems, Inc 155 Technology Place P.O. Box 830 Liberty, SC USA TM SeaLINK+8/USB Part # 280X Sealevel Systems, Inc 155 Technology Place P.O. Box 830 Liberty, SC 29657 USA Phone: (864) 843-4343 FAX: (864) 843-3067 www.sealevel.com Contents INTRODUCTION... 1 OVERVIEW...1

More information

CprE 488 Embedded Systems Design. Lecture 4 Interfacing Technologies

CprE 488 Embedded Systems Design. Lecture 4 Interfacing Technologies CprE 488 Embedded Systems Design Lecture 4 Interfacing Technologies Joseph Zambreno Electrical and Computer Engineering Iowa State University www.ece.iastate.edu/~zambreno rcl.ece.iastate.edu Never trust

More information

Introduction the Serial Communications Huang Sections 9.2, 10.2 SCI Block User Guide SPI Block User Guide

Introduction the Serial Communications Huang Sections 9.2, 10.2 SCI Block User Guide SPI Block User Guide Introduction the Serial Communications Huang Sections 9.2,.2 SCI Block User Guide SPI Block User Guide Parallel Data Transfer Suppose you need to transfer data from one HCS2 to another. How can you do

More information

ICD105A 1008 page 1/ r001 ICD105A. Industrial RS-232 to RS-422/485 Converter

ICD105A 1008 page 1/ r001 ICD105A. Industrial RS-232 to RS-422/485 Converter ICD105A 1008 page 1/5 7319 r001 ICD105A Industrial RS-232 to RS-422/485 Converter Data Rates up to 115.2 Kbps 10 48 VDC Input Power Range Wide Operating Temperature 3-Way 2000V Optical Isolation Modbus

More information

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Serial Port Communication Module No: CS/ES/11 Quadrant 1 e-text

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Serial Port Communication Module No: CS/ES/11 Quadrant 1 e-text e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: Serial Port Communication Module No: CS/ES/11 Quadrant 1 e-text In this lecture, serial port communication will be discussed in

More information

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017)

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) PCI Host Controller 14a Hardware Reference 1 PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) Purpose: Host Controller to support the PCI bus according to the PCI/104 specification.

More information

IC-485S /IC-485SI. If anything is damaged or missing, contact your dealer.

IC-485S /IC-485SI. If anything is damaged or missing, contact your dealer. User Manual IC-485S /IC-485SI Read this guide thoroughly and follow the installation and operation procedures carefully in order to prevent any damage to the units and/or any devices that connect to them.

More information

8. I/O Buses and Interfaces

8. I/O Buses and Interfaces 8. I/O Buses and Interfaces Section 7.5 & Chapter & 8 Some Review Remember CPU-memory-I/O architecture CPU-Memory-I/O Architecture Memory CPU I/O module I/O device CPU bus or System bus Bus interface I/O

More information

Serial Peripheral Interface Bus SPI

Serial Peripheral Interface Bus SPI Serial Peripheral Interface Bus SPI SPI Bus Developed by Motorola in the mid 1980 s Full-duplex, master-slave serial bus suited to data streaming applications for embedded systems Existing peripheral busses

More information

Serial Communications

Serial Communications April 2014 7 Serial Communications Objectives - To be familiar with the USART (RS-232) protocol. - To be able to transfer data from PIC-PC, PC-PIC and PIC-PIC. - To test serial communications with virtual

More information

Pmod modules are powered by the host via the interface s power and ground pins.

Pmod modules are powered by the host via the interface s power and ground pins. 1300 Henley Court Pullman, WA 99163 509.334.6306 www.store. digilent.com Digilent Pmod Interface Specification 1.2.0 Revised October 5, 2017 1 Introduction The Digilent Pmod interface is used to connect

More information

RS-232 to Logic Level Adapter with DB9M Connector and Power LED

RS-232 to Logic Level Adapter with DB9M Connector and Power LED PCB-CB-232M RS-232 to Logic Level Adapter with DB9M Connector and Power LED Part Number: PCB-CB-232M (unpopulated PCB, no parts) Features RS-232 to logic level adapter with DB9M connector (DTE style) and

More information

An SPI interface for the 65(C)02 family of microprocessors

An SPI interface for the 65(C)02 family of microprocessors Rev 4/B Dec 30, 2011 65SPI/B An SPI interface for the 65(C)02 family of microprocessors This device was created to provide a basic SPI interface for the 65xx family of microprocessors. Currently, the only

More information

PCL Port RS-232 Interface Card

PCL Port RS-232 Interface Card PCL-858 8-Port RS-232 Interface Card Copyright Notice This documentation and the software included with this product are copyrighted 2001 by Advantech Co., Ltd. All rights are reserved. Advantech Co.,

More information

For reference only Refer to the latest documents for details

For reference only Refer to the latest documents for details STM32F3 Technical Training For reference only Refer to the latest documents for details Serial peripheral interface SPI 3 SPI Features (1/2) 3 Full duplex synchronous transfers (3 lines) Half duplex/simplex

More information

Learn how to communicate

Learn how to communicate USART 1 Learn how to communicate Programmed I/O (Software Polling) Interrupt Driven I/O Direct Memory Access (DMA) 2 Programmed I/O (Polling) Processor must read and check I/O ready bits for proper value

More information

Concepts of Serial Communication

Concepts of Serial Communication Section 6. Serial Communication Communication Using Serial Interfaces: UART and SPI Concepts of Serial Communication Limitations of Parallel Bus Clock skew becomes a serious issue for high speed and long

More information

ICS Humla CTF. Copyright 2017 Payatu https://www.payatu.com 1

ICS Humla CTF. Copyright 2017 Payatu https://www.payatu.com 1 ICS Humla CTF BY ARUN MANE SR. SECURITY RESEARCHER Copyright 2017 Payatu https://www.payatu.com 1 About Sr. Security Researcher at Payatu Software Labs Focused in IoT, ICS, Vehicle Security Co-Trainer

More information

PCIe Card Selection Guide. PCIe-CAN Card. PCIe-COM Card. Taiwan Pulse Motion Co.,Ltd. Bus Interface PCI Express x 1 LPE-C122 PCE-C122D PCE-C122T

PCIe Card Selection Guide. PCIe-CAN Card. PCIe-COM Card. Taiwan Pulse Motion Co.,Ltd. Bus Interface PCI Express x 1 LPE-C122 PCE-C122D PCE-C122T PCIe Card Selection Guide PCIe-CAN Card Bus Interface PCI Express x 1 LPE-C122 PCE-C122D PCE-C122T Channels I/O Isolation Voltage Baud Rate Terminator Resistor I/O PIN Type 2 Max. 1 Mbps Jumper for 120

More information

App Note Application Note: Addressing Multiple FPAAs Using a SPI Interface

App Note Application Note: Addressing Multiple FPAAs Using a SPI Interface Rev: 1.0.0 Date: 23 rd Jan 2015 App Note - 310 Application Note: Addressing Multiple FPAAs Using a SPI Interface TABLE OF CONTENTS 1 PURPOSE... 2 2 THE SPI INTERFACE... 3 2.1 OVERVIEW... 3 2.2 DETAILED

More information

Using the Z8051 MCU s USI Peripheral as an SPI Interface

Using the Z8051 MCU s USI Peripheral as an SPI Interface Using the Z8051 MCU s USI Peripheral as an SPI Interface AN035901-0513 Abstract This document describes how to configure Zilog s Z8051 Universal Serial Interface (USI) peripheral to operate as Serial Peripheral

More information

Course 10: Interfaces Agenda

Course 10: Interfaces Agenda Course 10: Interfaces 1 Agenda Introduction V.24 interface (RS232) USB 2 Introduction 3 Definition(s) (from the web) A boundary across which two independent systems meet and act on or communicate with

More information

PARALLEL COMMUNICATIONS

PARALLEL COMMUNICATIONS Parallel Data Transfer Suppose you need to transfer data from one HCS12 to another. How can you do this? You could connect PORTA of the sending computer (set up as an output port) to PORTA of the receiving

More information

Communication. Chirag Sangani

Communication. Chirag Sangani Communication Scope of Communication Telephones and cell phones. Satellite networks. Radio and DTH services. Campus LAN and wireless. Internet. Intra-galactic communication. Essentials of Communication

More information

PCI-SIO8BXS-SYNC. Features:

PCI-SIO8BXS-SYNC. Features: PCI-SIO8BXS-SYNC Eight Channel High Performance Serial I/O PCI Card Featuring /RS232/RS423 Software Configurable Transceivers and 32K Byte Buffers (512K Byte total) The PCI-SI08BXS-SYNC is an eight channel

More information

The modems are made in industrial 108mm wide aluminium housing for DIN rail mounting. UCM RS232 interface

The modems are made in industrial 108mm wide aluminium housing for DIN rail mounting. UCM RS232 interface DESCRIPTION The modems are a series of general-purpose industrial modems, which can be used for data communication through Public Switched Telephone Networks (PSTN). The modems can operate in 2-wire, full-duplex,

More information

ECE251: Thursday November 8

ECE251: Thursday November 8 ECE251: Thursday November 8 Universal Asynchronous Receiver & Transmitter Text Chapter 22, Sections 22.1.1-22.1.4-read carefully TM4C Data Sheet Section 14-no need to read this A key topic but not a lab

More information

Digital Circuits Part 2 - Communication

Digital Circuits Part 2 - Communication Introductory Medical Device Prototyping Digital Circuits Part 2 - Communication, http://saliterman.umn.edu/ Department of Biomedical Engineering, University of Minnesota Topics Microcontrollers Memory

More information

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n October 2012 Reference Design RD1138 Introduction The Universal Asynchronous Receiver/Transmitter (UART) performs serial-to-parallel conversion on data characters received from a peripheral device or a

More information

Dual Serial Shield User Manual

Dual Serial Shield User Manual Dual Serial Shield User Manual PN: 2050 Berkshire Products, Inc. Phone: 770-271-0088 http://www.bkp-store.com/ Rev: 1.00 Copyright 2013 Table of Contents 1 Introduction... 2 1.1 XB compatibility... 2 2

More information

Preliminary. PACKAGE - 28-pin MLP (5mm X 5mm) Example Circuit Diagram CP V. 48MHz Oscillator. USB Function Controller 512B EEPROM

Preliminary. PACKAGE - 28-pin MLP (5mm X 5mm) Example Circuit Diagram CP V. 48MHz Oscillator. USB Function Controller 512B EEPROM Preliminary Single-Chip USB to UART Bridge SINGLE-CHIP USB to UART DATA TRANSFER - Integrated USB Transceiver; No External Resistors Required - Integrated Clock; No External Crystal Required - Integrated

More information

COMM+422.PCI USER MANUAL

COMM+422.PCI USER MANUAL TM COMM+422.PCI USER MANUAL Part # 7404 Sealevel Systems, Inc P.O. Box 830 Liberty, SC 29657 USA Phone: (864) 843-4343 FAX: (864) 843-3067 www.sealevel.com Contents INTRODUCTION... 1 OVERVIEW...1 WHAT

More information

FPGA Implementation Of SPI To I2C Bridge

FPGA Implementation Of SPI To I2C Bridge FPGA Implementation Of SPI To I2C Bridge Abhilash S.Warrier Akshay S.Belvadi Dhiraj R.Gawhane Babu Ravi Teja K Abstract Today s electronic system is not a standalone unit instead working in a group, where

More information

Galep-Adapter DIL-40 => ISP-ASYNC/SYNC Article-No.:

Galep-Adapter DIL-40 => ISP-ASYNC/SYNC Article-No.: 1 of 10 -- English -- Adapter for MCU s, which are in system programmable. -- Deutsch -- Adapter für MCU s, die im System programmiert werden können. Illustration 1: ISP-ASYNC/SYNC-adapter 210915 Illustration

More information

USART. USART stands for Universal Synchronous Asynchronous Receiver Transmitter. Full-duplex NRZ asynchronous serial data transmission

USART. USART stands for Universal Synchronous Asynchronous Receiver Transmitter. Full-duplex NRZ asynchronous serial data transmission USART 1 USART USART stands for Universal Synchronous Asynchronous Receiver Transmitter Full-duplex NRZ asynchronous serial data transmission Offer wide ranges of baud rate 2 Serial communication Can support

More information

TKT-3500 Microcontroller systems

TKT-3500 Microcontroller systems TKT-3500 Microcontroller systems Lec 3a Serial Input/output Ville Kaseva Department of Computer Systems Tampere University of Technology Fall 2010 Sources Original slides by Erno Salminen Robert Reese,

More information

Miniature Asynchronous 4-Wire High Speed Modems

Miniature Asynchronous 4-Wire High Speed Modems ME1862A-F ME1863A-F JULY 2003 ME1862A-M ME1863A-M Miniature Asynchronous 4-Wire High Speed Modems CUSTOMER SUPPORT INFORMATION Order toll-free in the U.S.: Call 877-877-BBOX (outside U.S. call 724-746-5500)

More information