CHALMERS Lindholmen 1. Compare (a) a sand hour glass, (b) a pocket mechanical watch (c) a wrist quartz watch and (d) the Big

Size: px
Start display at page:

Download "CHALMERS Lindholmen 1. Compare (a) a sand hour glass, (b) a pocket mechanical watch (c) a wrist quartz watch and (d) the Big"

Transcription

1 Design Architecture Implementation Realization Architecture defines the functional appearance of a system to its user (what?) Implementation provides the logic structure and practical means for accomplishing something (how?) Realization is a concrete version of an implementation; components, their interconnections, positions, shielding, packaging, components reliability, etc. Compare (a) a sand hour glass, (b) a pocket mechanical watch (c) a wrist quartz watch and (d) the Big Ben from the architecture, implementation and realization points of views. CHALMERS Lindholmen 1

2 Simplified Block Diagram of a MC68HC11D0 ROM RAM EEPROM Timer& Counter system CPU core Serial I/O Handshake I/O Port A Port B Port C Port D Address/Data bus CHALMERS Lindholmen 2

3 Development tools Evaluation boards are simple systems build upon the same microprocessor/microcontroller as the target system used to evaluate the performance of specific processors and provide external RAM, ROM or PROM input and output ports and serial communication interface monitor programs ( a simple operating environment/system. tools for program debugging (tracing, breakpoints settings, modification of register content, reading/modifying memory content, etc. CHALMERS Lindholmen 3

4 Implementation of a complete single-chip system Figure 1: Pin assignment of MC68HC11D3Pin CHALMERS Lindholmen 4

5 Features The MC68HC711D0 has 4kB ROM, often containing a monitor program. In single chip mode, RESET signal forces the MC to execute the monitor program. SCI can practically use any terminal program available. Information to be sent to the processor should be commands that the monitor understands and data it needs. To sent program code to the monitor, the program source file should be assembled or compiled (by other programs such as assemblers or compilers ) to program code (hex code) the processor understand. A EVB could integrate the above jobs in a single user-friendly environment CHALMERS Lindholmen 5

6 Interfacing SCI with a terminal MC68HC11 TxD MAX T1IN T1OUT DB9F connector 5 3 Sg Tx R1OUT RxD R1IN 2 Rx GND CHALMERS Lindholmen 6

7 MCS in expanded mode Address bus (A15--A0) MCU Data bus (D7--D0) Control bus (AS,R/W) RAM PROM I/O PORT Figure 2: Generic bus system and its interface with various devices CHALMERS Lindholmen 7

8 CHALMERS Lindholmen 8 Many of the members of the MC68HC11 family have multiplexed address/data bus The control bus is unidirectional, with output signals R/W, AS, and E. (AS,R/W,E) A15 A8 AS (A7-A0) (A15-A8) MCU AD7 AD0 Latch (D7-D0) Bus Demultiplexing

9 Timing diagrams E Clock R/W A7-A0 write(w)/ read(r) cycles w r Address available Data available A15-A8 AS Figure 3: Time diagram of read/write cycles (expanded mode) CHALMERS Lindholmen 9

10 Decoding of E and RW signals for Memory Interface R/W OE E WE Figure 4: WE and OE (or RD) signals used to interface with some typical memories When the E signal is low both WE and OE signals become high, independently of the input R/W signal. When the E signal is high then OE = R/W = R/W and WE = R/W (R OE and W WE). CHALMERS Lindholmen 10

11 Tristate bus I0 O0 devices Two devices should not be allowed to simultaneously sent signals on the same data line. A bus conflict or bus contention happens when two signals are propagated concurrently on the same line. The devices connected to the bus should be able to permit or prohibit issuing signals on the bus. Tristate technology provides support for avoiding bus conflicts. of communication of the MCU with other The processor bus provides the highway R Tristate line Tristate output I1 I2 I3 I4 I5 I6 I7 OE Bus line V DD TTL input Enable (a) 5V (b) (c) O1 O2 O3 O4 O5 O6 O7 GND GND CHALMERS Lindholmen 11

12 Address Decoding/Definitions FFF To individually address various devices we give them specific addresses. The available address space size S is dictated by bus width M, namely S = 2 M. In case of 16 bits bus S = 2 16 = (64K). The address space spans the region $0000 $FFFF or Each device is allocated (maps to) a specific region on the address space. Memory map diagram displays allocated regions on the address space A000 C000 E000 3FFF 5FFF 7FFF 9FFF BFFF DFFF FFFF CHALMERS Lindholmen 12

13 MC68HCA1/A8 Memory Map $0000 $00FF $1000 $103F N/A N/A External External 256-Byte RAM 64-Byte Register Block $B600 $B7FF 512-Byte EEPROM $E000 $FFFF N/A External Single Chip Expanded Multiplexed 8-KB ROM Shaded surfaces are memory regions occupied by on-chip memories. In expanded mode, external memories can be mapped onto remaining address space (unshaded surfaces). In single-chip mode these regions are not available. CHALMERS Lindholmen 13

14 Mapping devices onto memory map Assume that a MC system has two memory ICs of 32KB each. Memory chips of these size have 15 address pins (2 15 = 32K) A14 A0, eight data pins D7 D0, and few control pins, such as OE, WE,and CS 1. Bus address lines A14 A0 should be connected to the pins A14 A0 of the memory ICs. The address line A15 could then be used to generate chip select (CS) signals for the two memories CS1 =A15 and CS2 =A15. When a CS is low memory s data pins connects to the data bus, otherwise they are disconnected. 1 PROMs might have additional control pins for programming CHALMERS Lindholmen 14

15 A14--A0 RAM 32K EEPROM 32K CS CS A15 A15 (CS_1) (CS_2) In general we need to construct a digital circuit (decoder) that monitors the address bus and generates chip select signals to memory chips according to memory map. The process is called address decoding. The same decoding scheme could be applied to two smaller sizes memories; The later case is referred to as partial decoding, does not use the whole available address space. Some of upper addresses lines would be left unconnected. CHALMERS Lindholmen 15

16 Binary Address Mapping: Examples Address bus lines N = 16. Address space 2 N = 64 kb i Address lines on memory chip M = 10 ii Size of memory partitions 2 M = 2 10 = 1 kb iii Number of partitions 2 N /2 M = 2 N M = 2 6 = 64 i Address lines on memory chip M = 8 ii Size of memory partitions M = 256B = 1 4 kb iii Number of partitions 64/ 1 4 = 256 i Size of memory partitions M = 16KB ii Number of partitions 4 iii Lines for CS select signal 2 iv Address lines on memory chips M = 14 CHALMERS Lindholmen 16

17 Partial decoding Assume that an MCS needs 4KB RAM, 16KB EEPROM and two I/O ports respectively with three and eight registers. We could make a binary partition as in Section of the address space to 2, 4, 8, and or more regions. Let us locate the RAM on the memory map at addresses $8000 $8FFF. Note that $ $1000 -$0001 =$8FFF, where $1000 = Only in this this address interval we should enable the external RAM chip. Let s display the address range in the binary format as shown in the following table. symbol x denotes an address line which can be either 0 or 1. Address A15 A14 A13 A12 A11 A10... A0 $ $ $8xxx x x... x.... $8FFE $8FFF CHALMERS Lindholmen 17

18 From this table (consider particularly the third row), we can generate the chip enable signal(cs) by the Boolean expression: CS = A15 A14 A13 A12 Two more examples. EXAMPLE 1 Map an I/O port with three registers from address $4000. Design the address decoding circuit. The address range is $4000 $4002 is defined by address range xx where xx can takes values 00,01,10,11. To generate a CS signal for (only) the above locations would required to decode 14 address lines, from A15 to A2. CS =A15 A14 A13 A12 A11... A2 Note that the scheme includes also the address $4003 although no register is located there. As expected, the decoding circuit gets quite large. As mentioned earlier, when we need not consume all the available address space we can make a partial decoding, i.e. allocate a larger address range to the I/O port, or other devices, although they do not need or use it. The address decoding circuit simplifies considerably. CHALMERS Lindholmen 18

19 EXAMPLE 2 Assigning the range $4000 $43FF to the I/O port above we can generate CS signals by Boolean expression CS = A15 A14 A13 A12 A11 A10 Note that all addresses with binary patterns xx... x, as tabulated below, will generate a single CS signal, i.e select the same I/O port. The lower two bits of address bus determine which registers to be accessed. $4000 $4003, $4004 $4007, $4008 $400B, $400C $400F, $4010 $4013, $4014 $4017, $4018 $401B, $401C $401F, $4020 $4023, $4024 $4027, $4028 $402B, $402C $402F,... $4100 $4103, $4104 $4107, $4108 $410B, $410C $410F, $4110 $4113, $4114 $4117, $4118 $411B, $411C $411F,... $4200 $4104, $4104 $4107, $4108 $410B, $410C $410F,... $42F0 $42F3, $42F4 $42F7, $42F8 $42FB, $42FC $42FF,... CHALMERS Lindholmen 19

20 $43F0 $43F3, $43F4 $43F7, $43F8 $43FB, $43FC $43FF The addresses $4000, $4001 $4002 (and $4003) can be used to assess registers. The remaining I/O space contains replica of these registers and can not be used by other devices. The following VHDL could be used to program a PLD (programmable logic device). e.g. a PAL device (programmable array logic) for address decoding. library ieee; use ieee.std_logic_1164.all; entity address_decoder is port( A15,A14,A13,A12,A11,A10 :in std_logic; CS_L: out std_logic); end address_decoder architecture decoder_arch of address_decoder is begin CS_L <= A15 and not(a14) and A13 and A12 and A11 and A11; end decoder_arch; CHALMERS Lindholmen 20

21 Memory map of MC68HC11D0 $0000 $003F $0040 $00FF $7000 $7FFF $BF00 $BFFF $BFC0 $BFFF $F000 $FFFF $FFC0 $FFFF Static RAM 192 bytes Internal register and I/O ROM (PROM) 4 KB ROM (PROM) 4 KB BOOT ROM 256 bytes Single Chip Expanded Multiplexed Special Bootstrap Special Test Special modes Interrupt Vectors Normal modes Interrupt Vectors Can be disabled by EPON bit (CONFIG Reg) CHALMERS Lindholmen 21

22 Memory allocation FF Partition of address space in four 16kB regions. Three 16kB memories can be accommodated at addresses $4000, $8000, and $C000. Two address lines decode CS signals: CS2, CS3, CS4. The region $2000-$3FFF could be saved for future system development. Internal registers on the top of MM A000 C000 E000 CHALMERS Lindholmen 22

23 Debugging tools: Oscilloscope test loops. Problem: We can not access a RAM. The signals connected to RAM are address bus-lines, data bus lines and signals CS, OE and WE. TEST LDAA #AA S_LOOP STAA MemAddress * LDAB MemAddress BRA S_LOOP * End of program * cyclicly to/from a memory address * The program writes/reads * Test loop of a memory chip. First oscilloscope channel displays the signal CS; the second OE or CS or WR. Triggering to CS signal which comes before and ends after the signal OE and WE 2, we we expect to observe signals similar to the read cycles shown in time diagrams. 2 the later are valid only on the second (high) cycle of E clock CHALMERS Lindholmen 23

24 Wire-wrapping and other practical details Wirewrap is an technology to interconnect electronics that was popular few decades ago 3. It has the advantages that it is easily modifiable, and easy to create prototype systems but, on the other hand, it is quite labor intensive. Considering the learning process we are going through and relatively small size of the system we are building we would take advantage of wire-wrapping technique, see 4 3 The wirewrap technology declined in use because the PC board technology costs dropped and allowed higher density. Also PC boards allowed for a more controlled signal environment which was required for faster logic see for practical details CHALMERS Lindholmen 24

CHAPTER TWELVE - Memory Devices

CHAPTER TWELVE - Memory Devices CHAPTER TWELVE - Memory Devices 12.1 6x1,024 = 16,384 words; 32 bits/word; 16,384x32 = 524,288 cells 12.2 16,384 addresses; one per word. 12.3 2 16 = 65,536 words = 64K. Thus, memory capacity is 64Kx4.

More information

ECE3120: Computer Systems Hardware & Software Development Tools

ECE3120: Computer Systems Hardware & Software Development Tools ECE3120: Computer Systems Hardware & Software Development Tools Manjeera Jeedigunta http://blogs.cae.tntech.edu/msjeedigun21 Email: msjeedigun21@tntech.edu Tel: 931-372-6181, Prescott Hall 120 The HCS12

More information

Microprocessor Architecture. mywbut.com 1

Microprocessor Architecture. mywbut.com 1 Microprocessor Architecture mywbut.com 1 Microprocessor Architecture The microprocessor can be programmed to perform functions on given data by writing specific instructions into its memory. The microprocessor

More information

MC68HC12 Parallel I/O

MC68HC12 Parallel I/O EEL 4744C: Microprocessor Applications Lecture 6 Part 2 MC68HC12 Parallel I/O Dr. Tao Li 1 Software and Hardware Engineering (new version): Chapter 11 Or SHE (old version): Chapter 7 And Reading Assignment

More information

Menu. word size # of words byte = 8 bits

Menu. word size # of words byte = 8 bits Menu LSI Components >Random Access Memory (RAM) Static RAM (SRAM) Dynamic RAM (DRAM) Read-Only Memory (ROM) Look into my... See figures from Lam text on web: RAM_ROM_ch6.pdf 1 It can be thought of as 1

More information

UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING. Principles of Computer Operation

UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING. Principles of Computer Operation UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING Experiment PCO: Principles of Computer Operation Location: Part I Lab., CYC 102. Objective: The objective is to learn the basic

More information

Allmost all systems contain two main types of memory :

Allmost all systems contain two main types of memory : Memory Interface Allmost all systems contain two main types of memory : read-only memory (ROM) system software and permanent system data random access memory (RAM) or read/write memory application software

More information

Using the MC9S12 in Expanded Mode External Ports S12CPUV2 Reference Manual Multiplexed External Bus Interface (MEBI) Module V3 Block User Guide

Using the MC9S12 in Expanded Mode External Ports S12CPUV2 Reference Manual Multiplexed External Bus Interface (MEBI) Module V3 Block User Guide Using the MC9S12 in Expanded Mode External Ports S12CPUV2 Reference Manual Multiplexed External Bus Interface (MEBI) Module V3 Block User Guide - Computer with N bit address bus can access 2 N bytes of

More information

Review for Exam III. Analog/Digital Converters. The MC9S12 has two 10-bit successive approximation A/D converters - can be used in 8-bit mode

Review for Exam III. Analog/Digital Converters. The MC9S12 has two 10-bit successive approximation A/D converters - can be used in 8-bit mode Methods used for A/D converters Flash (Parallel) Successive Approximation Review for Exam III Analog/Digital Converters A/D converters are classified according to: Resolution (number of bits) Speed (number

More information

UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING

UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING Experiment PCO: Principles of Computer Operation Location: Part I Lab., CYC 102. Objective: The objective is to learn the basic

More information

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview CPE/EE 421/521 Fall 2004 Chapter 4 The 68000 CPU Hardware Model Dr. Rhonda Kay Gaede UAH Fall 2004 1 The 68000 CPU Hardware Model - Overview 68000 interface Timing diagram Minimal configuration using the

More information

The MC9S12 address, data and control buses The MC9S12 single-chip mode memory map Simplified write/read cycle. Address, Data and Control Buses

The MC9S12 address, data and control buses The MC9S12 single-chip mode memory map Simplified write/read cycle. Address, Data and Control Buses EE 308 Spring 2013 The MC9S12 address, data and control buses The MC9S12 single-chip mode memory map Simplified write/read cycle The real MC9S12 multiplexed external bus Byte order in microprocessors How

More information

EE 308 Spring Lecture 28 March 30, 2012 Review for Exam 2. Introduction to the MC9S12 Expanded Mode

EE 308 Spring Lecture 28 March 30, 2012 Review for Exam 2. Introduction to the MC9S12 Expanded Mode Lecture 28 March 30, 2012 Review for Exam 2 Introduction to the MC9S12 Expanded Mode 1 Review for Exam 2 1. C Programming (a) Setting and clearing bits in registers PORTA = PORTA 0x02; PORTA = PORTA &

More information

Address connections Data connections Selection connections

Address connections Data connections Selection connections Interface (cont..) We have four common types of memory: Read only memory ( ROM ) Flash memory ( EEPROM ) Static Random access memory ( SARAM ) Dynamic Random access memory ( DRAM ). Pin connections common

More information

Go Gators! Relax! May the Schwartz be with you!

Go Gators! Relax! May the Schwartz be with you! Page 1/12 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

History and Basic Processor Architecture

History and Basic Processor Architecture History and Basic Processor Architecture History of Computers Module 1 Section 1 What Is a Computer? An electronic machine, operating under the control of instructions stored in its own memory, that can

More information

Chapter 1 Microprocessor architecture ECE 3120 Dr. Mohamed Mahmoud http://iweb.tntech.edu/mmahmoud/ mmahmoud@tntech.edu Outline 1.1 Computer hardware organization 1.1.1 Number System 1.1.2 Computer hardware

More information

CMS-8GP32. A Motorola MC68HC908GP32 Microcontroller Board. xiom anufacturing

CMS-8GP32. A Motorola MC68HC908GP32 Microcontroller Board. xiom anufacturing CMS-8GP32 A Motorola MC68HC908GP32 Microcontroller Board xiom anufacturing 2000 717 Lingco Dr., Suite 209 Richardson, TX 75081 (972) 994-9676 FAX (972) 994-9170 email: Gary@axman.com web: http://www.axman.com

More information

EET2411 DIGITAL ELECTRONICS. A device or electrical circuit used to store a single bit (0 or 1) Ex. FF.

EET2411 DIGITAL ELECTRONICS. A device or electrical circuit used to store a single bit (0 or 1) Ex. FF. Chapter 12 - Memory Devices Digital information is easily stored Commonly used memory devices and systems will be examined Flip flops Registers VLSI and LSI memory devices The difference between main memory

More information

Programmable Peripheral Application Note 021 Interfacing The PSD3XX To The MC68HC16 and The MC68300 Family of Microcontrollers By Ching Lee

Programmable Peripheral Application Note 021 Interfacing The PSD3XX To The MC68HC16 and The MC68300 Family of Microcontrollers By Ching Lee Programmable Peripheral pplication Note 0 Interfacing The PSXX To The MC68HC16 and The MC680 Family of Microcontrollers By Ching Lee Introduction Typical MC683 Design The PSXX devices are user-configurable

More information

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an Microcontroller Basics MP2-1 week lecture topics 2 Microcontroller basics - Clock generation, PLL - Address space, addressing modes - Central Processing Unit (CPU) - General Purpose Input/Output (GPIO)

More information

INTERFACING THE ISCC TO THE AND 8086

INTERFACING THE ISCC TO THE AND 8086 APPLICATION NOTE INTERFACING THE ISCC TO THE 68 AND 886 INTRODUCTION The ISCC uses its flexible bus to interface with a variety of microprocessors and microcontrollers; included are the 68 and 886. The

More information

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5.

2. List the five interrupt pins available in INTR, TRAP, RST 7.5, RST 6.5, RST 5.5. DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6502- MICROPROCESSORS AND MICROCONTROLLERS UNIT I: 8085 PROCESSOR PART A 1. What is the need for ALE signal in

More information

EE 308 Spring Lab on IIC Bus

EE 308 Spring Lab on IIC Bus Lab on IIC Bus Next week s lab 1. Communicate with Dallas Semiconductor DS 1307 Real Time Clock (a) Set time and date in clock (b) Read time and date from clock and display 2. Display time and date on

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

Ryerson University Department of Electrical and Computer Engineering ELE 538 Microprocessor Systems Final Examination December 8, 2003

Ryerson University Department of Electrical and Computer Engineering ELE 538 Microprocessor Systems Final Examination December 8, 2003 Ryerson University Department of Electrical and Computer Engineering ELE 538 Microprocessor Systems Final Examination December 8, 23 Name: Student Number: Time limit: 3 hours Section: Examiners: K Clowes,

More information

Roberto Muscedere Images and Text Portions 2003 Prentice Hall 1

Roberto Muscedere Images and Text Portions 2003 Prentice Hall 1 Microcomputer Structure and Operation Chapter 5 A Microprocessor ( P) contains the controller, ALU and internal registers A Microcomputer ( C) contains a microprocessor, memory (RAM, ROM, etc), input and

More information

Chapter 2: Fundamentals of a microprocessor based system

Chapter 2: Fundamentals of a microprocessor based system Chapter 2: Fundamentals of a microprocessor based system Objectives Learn about the basic structure of microprocessor systems Learn about the memory read/write timing diagrams. Learn about address decoding

More information

CMD711-EX Development Board

CMD711-EX Development Board CMD711-EX Development Board xiom anufacturing 1998 717 Lingco Dr., Suite 209 Richardson, TX 75081 (972) 994-9676 FAX (972) 994-9170 email: Gary@axman.com web: http://www.axman.com CONTENTS GETTING STARTED...

More information

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1 Module 2 Embedded Processors and Memory Version 2 EE IIT, Kharagpur 1 Lesson 11 Embedded Processors - II Version 2 EE IIT, Kharagpur 2 Signals of a Typical Microcontroller In this lesson the student will

More information

PRINCETON (VON NEUMAN) ARCHITECTURE MICROPROCESSOR

PRINCETON (VON NEUMAN) ARCHITECTURE MICROPROCESSOR 1 IN OUT PRINCTON (VON NUMAN) ARCHITCTUR DATA MICROPROCSSOR ALU CONTROL STATUS INSTRUCTION CONTROL CONTROL & ADDR CLOCK ADDR(N Bits) COMPUTR DATA(M Bits) CONTROL Computer with N bit address bus can access

More information

History of the Microprocessor. ECE/CS 5780/6780: Embedded System Design. Microcontrollers. First Microprocessors. MC9S12C32 Block Diagram

History of the Microprocessor. ECE/CS 5780/6780: Embedded System Design. Microcontrollers. First Microprocessors. MC9S12C32 Block Diagram History of the Microprocessor ECE/CS 5780/6780: Embedded System Design Chris J. Myers Lecture 1: 68HC12 In 1968, Bob Noyce and Gordon Moore left Fairchild Semiconductor and formed Integrated Electronics

More information

Introduction to Microcontrollers

Introduction to Microcontrollers Introduction to Microcontrollers Embedded Controller Simply an embedded controller is a controller that is embedded in a greater system. One can define an embedded controller as a controller (or computer)

More information

VMEbus Vertical Interconnect Alan Jones

VMEbus Vertical Interconnect Alan Jones VMEbus Vertical Interconnect Alan Jones 1.0 INTRODUCTION The Vertical Interconnect module allows a standard VMEbus crate to be expanded, by accessing other remote VMEbus crates over a 60 MHz serial link.

More information

MICROCONTROLLER AND PLC LAB-436 SEMESTER-5

MICROCONTROLLER AND PLC LAB-436 SEMESTER-5 MICROCONTROLLER AND PLC LAB-436 SEMESTER-5 Exp:1 STUDY OF MICROCONTROLLER 8051 To study the microcontroller and familiarize the 8051microcontroller kit Theory:- A Microcontroller consists of a powerful

More information

Interface DAC to a PC. Control Word of MC1480 DAC (or DAC 808) 8255 Design Example. Engineering 4862 Microprocessors

Interface DAC to a PC. Control Word of MC1480 DAC (or DAC 808) 8255 Design Example. Engineering 4862 Microprocessors Interface DAC to a PC Engineering 4862 Microprocessors Lecture 22 Cheng Li EN-4012 licheng@engr.mun.ca DAC (Digital-to-Analog Converter) Device used to convert digital pulses to analog signals Two methods

More information

EE4380 Microprocessor Design Project

EE4380 Microprocessor Design Project EE4380 Microprocessor Design Project Fall 2002 Class 1 Pari vallal Kannan Center for Integrated Circuits and Systems University of Texas at Dallas Introduction What is a Microcontroller? Microcontroller

More information

UNIT V MICRO CONTROLLER PROGRAMMING & APPLICATIONS TWO MARKS. 3.Give any two differences between microprocessor and micro controller.

UNIT V MICRO CONTROLLER PROGRAMMING & APPLICATIONS TWO MARKS. 3.Give any two differences between microprocessor and micro controller. UNIT V -8051 MICRO CONTROLLER PROGRAMMING & APPLICATIONS TWO MARKS 1. What is micro controller? Micro controller is a microprocessor with limited number of RAM, ROM, I/O ports and timer on a single chip

More information

Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers

Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers Lecture (4) Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers Prof. Kasim M. Al-Aubidy Philadelphia University-Jordan DERTS-MSc, 2015 Prof. Kasim Al-Aubidy 1 Lecture Outline:

More information

Memory Expansion. Lecture Embedded Systems

Memory Expansion. Lecture Embedded Systems Memory Expansion Lecture 22 22-1 In These Notes... Memory Types Memory Expansion Interfacing Parallel Serial Direct Memory Access controllers 22-2 Memory Characteristics and Issues Volatility - Does it

More information

10 2 ADDRESS DECODING:

10 2 ADDRESS DECODING: 10 2 ADDRESS DECODING: Simple NAND Gate Decoder: When the 2K 8 EPROM is used, address connections A10 A0 of the 8088 are connected to address inputs A10 A0 of the EPROM. The remaining nine address pins

More information

8051 INTERFACING TO EXTERNAL MEMORY

8051 INTERFACING TO EXTERNAL MEMORY 8051 INTERFACING TO EXTERNAL MEMORY Memory Capacity The number of bits that a semiconductor memory chip can store Called chip capacity It can be in units of Kbits (kilobits), Mbits (megabits), and so on

More information

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085.

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085. (1) Draw and explain the internal architecture of 8085. The architecture of 8085 Microprocessor is shown in figure given below. The internal architecture of 8085 includes following section ALU-Arithmetic

More information

Introduction read-only memory random access memory

Introduction read-only memory random access memory Memory Interface Introduction Simple or complex, every microprocessorbased system has a memory system. Almost all systems contain two main types of memory: read-only memory (ROM) and random access memory

More information

6.111 Lecture # 8. Topics for Today: (as time permits)

6.111 Lecture # 8. Topics for Today: (as time permits) 6.111 Lecture # 8 Topics for Today: (as time permits) 1. Memories 2. Assembling 'packages' for designs 3. Discussion of design procedure 4. Development of a design example using a finite state machine

More information

Three criteria in Choosing a Microcontroller

Three criteria in Choosing a Microcontroller The 8051 Microcontroller architecture Contents: Introduction Block Diagram and Pin Description of the 8051 Registers Some Simple Instructions Structure of Assembly language and Running an 8051 program

More information

Chapter 2 68HC11 Based Temperature Monitoring Board

Chapter 2 68HC11 Based Temperature Monitoring Board Chapter 2 68HC11 Based Temperature Monitoring Board Before we discuss the testing approach, it is helpful to know the system on which it is being applied. In this chapter, we will describe the design and

More information

MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS

MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS UNIT I INTRODUCTION TO 8085 8085 Microprocessor - Architecture and its operation, Concept of instruction execution and timing diagrams, fundamentals of

More information

AMD actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process.

AMD actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process. actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process. Conceptualize A Design Problem Select Device Implement Design

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422)

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) Memory In computing, memory refers to the computer hardware devices used to store information for immediate use

More information

Developing HC11 systems for RAM memory areas:

Developing HC11 systems for RAM memory areas: Developing HC11 systems for RAM memory areas: 0000-01FF (Ram area Single Chip Mode ON-OFF or Expanded Mode OFF-OFF) 0200-0FFF (Additional RAM area in Expanded Mode OFF-OFF only) 1040-7FFF (Additional RAM

More information

Microcontroller Systems. ELET 3232 Topic 11: General Memory Interfacing

Microcontroller Systems. ELET 3232 Topic 11: General Memory Interfacing Microcontroller Systems ELET 3232 Topic 11: General Memory Interfacing 1 Objectives To become familiar with the concepts of memory expansion and the data and address bus To design embedded systems circuits

More information

Control Unit: The control unit provides the necessary timing and control Microprocessor resembles a CPU exactly.

Control Unit: The control unit provides the necessary timing and control Microprocessor resembles a CPU exactly. Unit I 8085 and 8086 PROCESSOR Introduction to microprocessor A microprocessor is a clock-driven semiconductor device consisting of electronic logic circuits manufactured by using either a large-scale

More information

1. Memory Mapped Systems 2. Adding Unsigned Numbers

1. Memory Mapped Systems 2. Adding Unsigned Numbers 1 Memory Mapped Systems 2 Adding Unsigned Numbers 1 1 Memory Mapped Systems Our system uses a memory space Address bus is 16-bit locations Data bus is 8-bit 2 Adding Unsigned Numbers 2 Our system uses

More information

Topic 3. ARM Cortex M3(i) Memory Management and Access. Department of Electronics Academic Year 14/15. (ver )

Topic 3. ARM Cortex M3(i) Memory Management and Access. Department of Electronics Academic Year 14/15. (ver ) Topic 3 ARM Cortex M3(i) Memory Management and Access Department of Electronics Academic Year 14/15 (ver 25-10-2014) Index 3.1. Memory maps 3.2. Memory expansion 3.3. Memory management & Data alignment

More information

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY CHAPTER 5 : Introduction to Intel 8085 Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY The 8085A(commonly known as the 8085) : Was first introduced in March 1976 is an 8-bit microprocessor with 16-bit address

More information

Mark II Aiken Relay Calculator

Mark II Aiken Relay Calculator Introduction to Embedded Microcomputer Systems Lecture 6.1 Mark II Aiken Relay Calculator 2.12. Tutorial 2. Arithmetic and logical operations format descriptions examples h 8-bit unsigned hexadecimal $00

More information

Microcontrollers. Microcontroller

Microcontrollers. Microcontroller Microcontrollers Microcontroller A microprocessor on a single integrated circuit intended to operate as an embedded system. As well as a CPU, a microcontroller typically includes small amounts of RAM and

More information

e-pg Pathshala Subject: Computer Science Paper: Embedded System Module: Interfacing External Devices using Embedded C Module No: CS/ES/22

e-pg Pathshala Subject: Computer Science Paper: Embedded System Module: Interfacing External Devices using Embedded C Module No: CS/ES/22 e-pg Pathshala Subject: Computer Science Paper: Embedded System Module: Interfacing External Devices using Embedded C Module No: CS/ES/22 Quadrant 1 e-text In this lecture interfacing of external devices

More information

Lecture-55 System Interface:

Lecture-55 System Interface: Lecture-55 System Interface: To interface 8253 with 8085A processor, CS signal is to be generated. Whenever CS =0, chip is selected and depending upon A 1 and A 0 one of the internal registers is selected

More information

Architecture of Computers and Parallel Systems Part 2: Communication with Devices

Architecture of Computers and Parallel Systems Part 2: Communication with Devices Architecture of Computers and Parallel Systems Part 2: Communication with Devices Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems

More information

The Freescale MC908JL16 Microcontroller

The Freescale MC908JL16 Microcontroller Ming Hsieh Department of Electrical Engineering EE 459Lx - Embedded Systems Design Laboratory The Freescale MC908JL16 Microcontroller by Allan G. Weber 1 Introduction The Freescale MC908JL16 (also called

More information

Microprocessor s. Address Bus. External Buses. Interfacing CPU with external word. We classify the CPU interfacing signals in three functional buses:

Microprocessor s. Address Bus. External Buses. Interfacing CPU with external word. We classify the CPU interfacing signals in three functional buses: Interfacing CPU with external word s interfacing signals bus bus Power supply lines a d Typical Bus arbitration Status Bus control Interrupts control Control bus Clock signal Miscellaneous External Buses

More information

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic ECE 42/52 Rapid Prototyping with FPGAs Dr. Charlie Wang Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Evolution of Implementation Technologies Discrete devices:

More information

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text In this lecture the detailed architecture of 8051 controller, register bank,

More information

The D igital Digital Logic Level Chapter 3 1

The D igital Digital Logic Level Chapter 3 1 The Digital Logic Level Chapter 3 1 Gates and Boolean Algebra (1) (a) A transistor inverter. (b) A NAND gate. (c) A NOR gate. 2 Gates and Boolean Algebra (2) The symbols and functional behavior for the

More information

1-2 Chapter 1: The Beboputer Microcomputer

1-2 Chapter 1: The Beboputer Microcomputer 1-2 Chapter 1: The Beboputer Microcomputer The Beboputer microcomputer In its broadest sense, a computer is a device that can accept information from the outside world, process that information using logical

More information

EB-51 Low-Cost Emulator

EB-51 Low-Cost Emulator EB-51 Low-Cost Emulator Development Tool for 80C51 Microcontrollers FEATURES Emulates 80C51 Microcontrollers and Derivatives Real-Time Operation up to 40 MHz 3.3V or 5V Voltage Operation Source-Level Debugger

More information

MC9S12 has 16 bit address and 16 bit data buses. Not enough pins on MC9S12 to allocate 35 pins for buses and pins for all other functions

MC9S12 has 16 bit address and 16 bit data buses. Not enough pins on MC9S12 to allocate 35 pins for buses and pins for all other functions The Multiplexed Address/Data Bus ADDR(16) MC9S12 DATA(16) R/W E LSTRB MEMORY MC9S12 has 16 bit address and 16 bit data buses Requires 35 bits Not enough pins on MC9S12 to allocate 35 pins for buses and

More information

Chapter 1. Microprocessor architecture ECE Dr. Mohamed Mahmoud.

Chapter 1. Microprocessor architecture ECE Dr. Mohamed Mahmoud. Chapter 1 Microprocessor architecture ECE 3130 Dr. Mohamed Mahmoud The slides are copyright protected. It is not permissible to use them without a permission from Dr Mahmoud http://www.cae.tntech.edu/~mmahmoud/

More information

Central Processing Unit. Steven R. Bagley

Central Processing Unit. Steven R. Bagley Central Processing Unit Steven R. Bagley Introduction So far looked at the technology underpinning computers Logic signals to cause things to happen, and represent numbers Boolean gates to combine and

More information

Lesson 5 Arduino Prototype Development Platforms. Chapter-8 L05: "Internet of Things ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 5 Arduino Prototype Development Platforms. Chapter-8 L05: Internet of Things , Raj Kamal, Publs.: McGraw-Hill Education Lesson 5 Arduino Prototype Development Platforms 1 Arduino Boards, Modules And Shields Popular AVR MCU based products Each board has clear markings on the connection pins, sockets and in-circuit connections

More information

1. Attempt any three of the following: 15

1. Attempt any three of the following: 15 (2½ hours) Total Marks: 75 N. B.: (1) All questions are compulsory. (2) Make suitable assumptions wherever necessary and state the assumptions made. (3) Answers to the same question must be written together.

More information

The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14

The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14 The 9S12 in Expanded Mode - Using MSI logic to build ports Huang Chapter 14 Using MSI Logic To Build An Output Port Many designs use standard MSI logic for microprocessor expansion This provides an inexpensive

More information

I/O Design. Input / Output Instructions. Engineering 4862 Microprocessors. Lecture 23. Cheng Li

I/O Design. Input / Output Instructions. Engineering 4862 Microprocessors. Lecture 23. Cheng Li Engineering 4862 Microprocessors Lecture 23 Cheng Li EN-4012 licheng@engr.mun.ca I/O Design When designing an I/O port, ensure that the port is only active when selected by the microprocessor Use latches

More information

Chapter 14. Motorola MC68HC11 Family MCU Architecture

Chapter 14. Motorola MC68HC11 Family MCU Architecture Chapter 14 Motorola MC68HC11 Family MCU Architecture Lesson 1 68HC11 MCU Architecture overview 2 Outline CPU Registers, MCU Architecture overview Address and Data Buses Execution Unit- ALU Ports 3 CPU

More information

Basic I/O Interface

Basic I/O Interface Basic I/O Interface - 8255 11 3 THE PROGRAMMABLE PERIPHERAL 82C55 programmable peripheral interface (PPI) is a popular, low-cost interface component found in many applications. The PPI has 24 pins for

More information

Read and Write Cycles

Read and Write Cycles Read and Write Cycles The read cycle is shown. Figure 41.1a. The RAS and CAS signals are activated one after the other to latch the multiplexed row and column addresses respectively applied at the multiplexed

More information

Memory Organization. Program Memory

Memory Organization. Program Memory Memory Organization The 8051 has two types of memory and these are Program Memory and Data Memory. Program Memory (ROM) is used to permanently save the program being executed, while Data Memory (RAM) is

More information

AN1060. Motorola Semiconductor Application Note. M68HC11 Bootstrap Mode By Jim Sibigtroth, Mike Rhoades, and John Langan Austin, Texas.

AN1060. Motorola Semiconductor Application Note. M68HC11 Bootstrap Mode By Jim Sibigtroth, Mike Rhoades, and John Langan Austin, Texas. Order this document by AN1060/D Rev. 1.0 Motorola Semiconductor Application Note AN1060 M68HC11 Bootstrap Mode By Jim Sibigtroth, Mike Rhoades, and John Langan Austin, Texas Introduction The M68HC11 Family

More information

Pin Description, Status & Control Signals of 8085 Microprocessor

Pin Description, Status & Control Signals of 8085 Microprocessor Pin Description, Status & Control Signals of 8085 Microprocessor 1 Intel 8085 CPU Block Diagram 2 The 8085 Block Diagram Registers hold temporary data. Instruction register (IR) holds the currently executing

More information

Microprocessors and Microcontrollers (EE-231)

Microprocessors and Microcontrollers (EE-231) Microprocessors and Microcontrollers (EE-231) Main Objectives 8088 and 80188 8-bit Memory Interface 8086 t0 80386SX 16-bit Memory Interface I/O Interfacing I/O Address Decoding More on Address Decoding

More information

Total: EEL 3701 Digital Logic & Computer Systems Final Exam Fall Semester 2007 COVER SHEET: Re-Grade Information: 1 (10) 2 (10) 3 (10) 4 (14) 5 (14)

Total: EEL 3701 Digital Logic & Computer Systems Final Exam Fall Semester 2007 COVER SHEET: Re-Grade Information: 1 (10) 2 (10) 3 (10) 4 (14) 5 (14) COVER SHEET: Prob. Points: Re-Grade Information: Total: 1 (10) 2 (10) 3 (10) 4 (14) 5 (14) 6 (15) 7 (15) 8 (12) (100) 1 Remember to show ALL work here and in EVERY problem on this exam. [10%] 1. Circuit

More information

CS303 LOGIC DESIGN FINAL EXAM

CS303 LOGIC DESIGN FINAL EXAM JANUARY 2017. CS303 LOGIC DESIGN FINAL EXAM STUDENT NAME & ID: DATE: Instructions: Examination time: 100 min. Write your name and student number in the space provided above. This examination is closed

More information

Lab3: I/O Port Expansion

Lab3: I/O Port Expansion Page 1/5 Revision 2 6-Oct-15 OBJECTIVES Explore and understand the implementation of memory-mapped I/O. Add an 8-bit input port and an 8-bit output port. REQUIRED MATERIALS EEL 3744 (upad and upad Proto

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

PCI to SH-3 AN Hitachi SH3 to PCI bus

PCI to SH-3 AN Hitachi SH3 to PCI bus PCI to SH-3 AN Hitachi SH3 to PCI bus Version 1.0 Application Note FEATURES GENERAL DESCRIPTION Complete Application Note for designing a PCI adapter or embedded system based on the Hitachi SH-3 including:

More information

COMP3221: Microprocessors and. and Embedded Systems. Overview. Lecture 23: Memory Systems (I)

COMP3221: Microprocessors and. and Embedded Systems. Overview. Lecture 23: Memory Systems (I) COMP3221: Microprocessors and Embedded Systems Lecture 23: Memory Systems (I) Overview Memory System Hierarchy RAM, ROM, EPROM, EEPROM and FLASH http://www.cse.unsw.edu.au/~cs3221 Lecturer: Hui Wu Session

More information

Robotic Systems ECE 401RB Fall 2006

Robotic Systems ECE 401RB Fall 2006 The following notes are from: Robotic Systems ECE 401RB Fall 2006 Lecture 13: Processors Part 1 Chapter 12, G. McComb, and M. Predko, Robot Builder's Bonanza, Third Edition, Mc- Graw Hill, 2006. I. Introduction

More information

MSI-P400 PC/104 QUADRATURE DECODER/COUNTER CARD. PC/104 Embedded Industrial Analog I/O Series. Microcomputer Systems, Inc.

MSI-P400 PC/104 QUADRATURE DECODER/COUNTER CARD. PC/104 Embedded Industrial Analog I/O Series. Microcomputer Systems, Inc. MSI-P400 PC/104 QUADRATURE DECODER/COUNTER CARD PC/104 Embedded Industrial Analog I/O Series Microcomputer Systems, Inc. 1814 Ryder Drive Baton Rouge, LA 70808 Ph (225)769-2154 Fax (225) 769-2155 http://www.microcpmputersystems.com

More information

CSEE 3827: Fundamentals of Computer Systems. Storage

CSEE 3827: Fundamentals of Computer Systems. Storage CSEE 387: Fundamentals of Computer Systems Storage The big picture General purpose processor (e.g., Power PC, Pentium, MIPS) Internet router (intrusion detection, pacet routing, etc.) WIreless transceiver

More information

The Microcontroller Idea Book

The Microcontroller Idea Book The following material is excerpted from: The Microcontroller Idea Book Circuits, Programs, & Applications featuring the 8052-BASIC Microcontroller by Jan Axelson copyright 1994, 1997 by Jan Axelson ISBN

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

For more notes of DAE

For more notes of DAE Created by ARSLAN AHMED SHAAD ( 1163135 ) AND MUHMMAD BILAL ( 1163122 ) VISIT : www.vbforstudent.com Also visit : www.techo786.wordpress.com For more notes of DAE CHAPTER #6 Intel 8088/86 System Timing

More information

_ V Renesas R8C In-Circuit Emulation. Contents. Technical Notes

_ V Renesas R8C In-Circuit Emulation. Contents. Technical Notes _ V9.12. 225 Technical Notes Renesas R8C In-Circuit Emulation This document is intended to be used together with the CPU reference manual provided by the silicon vendor. This document assumes knowledge

More information

RA8835. Dot Matrix LCD Controller Specification. Version 1.2 June 1, RAiO Technology Inc. Copyright RAiO Technology Inc.

RA8835. Dot Matrix LCD Controller Specification. Version 1.2 June 1, RAiO Technology Inc. Copyright RAiO Technology Inc. RAiO Dot Matrix LCD Controller Specification Version 1.2 June 1, 2005 RAiO Technology Inc. Copyright RAiO Technology Inc. 2004, 2005 RAiO TECHNOLOGY I. 1/6 Preliminary Version 1.2 1. Overview The is a

More information

Hello and welcome to this Renesas Interactive module that covers the Independent watchdog timer found on RX MCUs.

Hello and welcome to this Renesas Interactive module that covers the Independent watchdog timer found on RX MCUs. Hello and welcome to this Renesas Interactive module that covers the Independent watchdog timer found on RX MCUs. 1 This course covers specific features of the independent watchdog timer on RX MCUs. If

More information

Handy Board Operations

Handy Board Operations Handy Board Operations Accessing the Data Bus Pete Jackson (Senior Electrical Engineering) December 5, 1997 hb_pj_02.doc Executive Summary The Handy Board (HB) was developed by the Media Laboratory at

More information

CPE/EE 421 Microcomputers

CPE/EE 421 Microcomputers CPE/EE 421 Microcomputers THE 68000 CPU HARDWARE MODEL Instructor: Dr Aleksandar Milenkovic Lecture Notes Lecture 19 CPE/EE 421/521 Microcomputers 1 THE 68000 CPU HARDWARE MODEL Chapter 4 68000 interface

More information

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE:

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: 1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: A microprocessor is a programmable electronics chip that has computing and decision making capabilities similar to central processing unit

More information