Enterprise and Datacenter. SSD Form Factor. Connector Specification

Size: px
Start display at page:

Download "Enterprise and Datacenter. SSD Form Factor. Connector Specification"

Transcription

1 Enterprise and Datacenter SSD Form Factor Connector Specification Revision 0.9 Draft August 2, 2017 Enterprise and Datacenter SSD Form Factor Working Group 1

2 INTELLECTUAL PROPERTY DISCLAIMER THIS DRAFT VERSION OF THE SPECIFICATION IS PROVIDED AS IS WITH NO WARRANTIES WHATSOEVER INCLUDING ANY WARRANTY OF MERCHANTABILITY, FITNESS FOR ANY PARTICULAR PURPOSE, OR ANY WARRANTY OTHERWISE ARISING OUT OF ANY PROPOSAL, SPECIFICATION, OR SAMPLE. THIS DRAFT VERSION OF THE SPECIFICATION IS PROVIDED FOR INFORMATIONAL PURPOSES ONLY AND NO LICENSE, EXPRESS OR IMPLIED, BY ESTOPPEL OR OTHERWISE, TO ANY INTELLECTUAL PROPERTY RIGHTS IS GRANTED OR INTENDED HEREBY. THE ENTERPRISE AND DATACENTER SSD FORM FACTOR WORKGROUP AND EACH INDIVIDUAL MEMBER THEREOF DISCLAIMS ALL LIABILITY, INCLUDING LIABILITY FOR INFRINGEMENT OF PROPRIETARY RIGHTS, RELATING TO IMPLEMENTATION OF INFORMATION IN THIS SPECIFICATION. THE ENTERPRISE AND DATACENTER SSD FORM FACTOR WORKGROUP DOES NOT WARRANT OR REPRESENT THAT SUCH IMPLEMENTATION(S) WILL NOT INFRINGE SUCH RIGHTS. 2

3 Revision History Rev History Date 0.9 Draft spec 8/2/2017 3

4 Table of Contents 1 INTRODUCTION Overview Goals Scope Outside of Scope Features Terms and Definitions Keywords Specification References SIGNAL LIST Power and Grounds PCIe Signals Side Band Signals Connector pinout definitions CARD EDGE AND CONNECTOR SPECIFICATION

5 1 Introduction 1.1 Overview The following specification defines the terminology, electrical, and mechanical requirements for a Card edge plug and connector receptacle that is defined for use in Enterprise and Datacenter applications supporting PCIe-based Solid-State Storage Devices (SSDs). 1.2 Goals The following goals were established when defining this specification. High-Level Tenets: PCIe is the interface for the specification. Cost optimal: Avoid the use of exotic (and costly) approaches Thermal/Power Efficient 1.3 Scope This specification defines the following: The pin list and pin placement Function of the pins 1.4 Outside of Scope The implementation or use of other published specifications referred to in this specification, even if required for compliance with the specification, are outside the scope of this specification (for example, PCI Express). How the connector connects physically and electrically to the host is outside of scope. There are informative examples to show possible implementations 1.5 Features PCIe support for today and future specifications o o o Supports 3.1a specification (up to 8GTs signaling) with no restrictions to support 16GT/s and 32GT/s. Single port operation: One (1), x4 or x8 or x16 PCIe port supported Dual port: Two (2) x2 or two (2) x4 or two (2) x8 PCIe ports supported 3 connector types o o o A 56 pin receptacle supporting Four (4) Tx and Rx PCIe lanes. A 84 pin receptacle supporting Eight (8) Tx and Rx PCIe lanes. A 140 pin receptacle supporting Sixteen (16) Tx and Rx PCIe lanes. Hot-plug Support 1.6 Terms and Definitions 5

6 1.6.1 Host: Refers to the interface source or master Device: Refers to the interface slave Card: Refers to the device plugged into a connector NVM: Acronym for Non-Volatile Memory SSD: Acronym for Solid State Drive SRIS: Acronym for Separate Reference clock Independent Spread spectrum clocking. This is a PCI Express feature that allows independent reference clocks for host and device. In this implementation, the host does not need to provide the reference clock. Each Independent source supports Spread Spectrum Clocking (SSC) SRNS: Acronym for Separate Reference clock with No Spread spectrum clocking. This is a PCI Express feature that allows independent reference clocks for host and device. In this implementation, the host does not need to provide the reference clock. Spread Spectrum Clocking (SSC) is not supported by either source. 1.7 Keywords Mandatory: Indicates items to be implemented as defined by this specification May: Indicates flexibility of choice with no implied preference Optional: Describes features that are not required by this specification. However, if any optional feature defined by the specification is implemented, the feature shall be implemented in the way defined by the specification Reserved: Refers to bits, bytes, words, fields, and opcode values that are set-aside for future standardization. Their use and interpretation may be specified by future extensions to this or other specifications. A reserved bit, byte, word, field, or register shall be cleared to zero, or in accordance with a future extension to this specification. The recipient is not required to check reserved bits, bytes, words, or fields. Receipt of reserved coded values in defined fields in commands shall be reported as an error. Writing a reserved coded value into a controller register field produces undefined results Shall: Indicates a mandatory requirement. Designers are required to implement all such mandatory requirements to ensure interoperability with other products that conform to the specification Should: Indicates flexibility of choice with a strongly preferred alternative. Equivalent to the phrase it is recommended. 1.8 Specification References PCI Express (PCIe) Specifications are available from PCI Express Base Specification, revision 3.1a PCI Express Mini Card Electromechanical Specification Revision 2.1 System Management Bus (SMBus) Specification, Version 2.0, August 3, 2000, available from SNIA SFF-TA-1002 Protocol Agnostic Multi-Lane High Speed Connector specification available at 6

7 2 Signal List This chapter covers the signal summary, definitions, and signal placement for the EDSFF connectors. All signals listed are looking from the device and are mandatory unless otherwise specified. Table 1: EDSFF Connector pin list Interface Power and Grounds PCIe Signal Name Card I/O Function 12 V I +12 V source 3.3 Vaux I +3.3 V Source GND I Return current path PERp0, PERn0, PETp0, PETn0 PERp1, PERn1, PETp1, PETn1 PERp2, PERn2, PETp2, PETn2 PERp3, PERn3, PETp3, PETn3 PERp4, PERn4, PETp4, PETn4 PERp5, PERn5, PETp5, PETn5 PERp6, PERn6, PETp6, PETn6 PERp7, PERn7, PETp7, PETn7 PERp8, PERn8, PETp8, PETn8 PERp9, PERn9, PETp9, PETn9 PERp10, PERn10, PETp10, PETn10 PERp11, PERn11, PETp11, PETn11 PERp12, PERn12, PETp12, PETn12 PERp13, PERn13, PETp13, PETn13 PERp14, PERn14, PETp14, PETn14 PERp15, PERn15, PETp15, PETn15 REFCLKp0, REFCLKn0 PERST0_n REFCLKp1, REFCLKn1 PERST1_n/CLKREQ_n I/O I I I I/O PCIe TX/RX Differential signals defined by the PCI Express Card Electromechanical Specification. PERp/n[0..3] and PETp/n[0..3] are supported in both the x4 and x8 connectors. PERp/n[4..7] and PETp/n[4..7] are supported only with the x8 connector. PERp/n[8..15] and PETp/n[8..15] are supported only with the x16 connector. PCIe Reference Clock signals (100 MHz) defined by the PCI Express Card Electromechanical Specification. Note: This reference clock is the common ref clock that shall be used with PCIe. PE-Reset is a functional reset to the card as defined as PERST# by the PCI Express Mini Card Electromechanical Specification. PCIe Reference Clock signals (100 MHz) defined by the PCI Express Card Electromechanical Specification. This clock is for dual port mode only and will only be used if DualPortEn_n is low. Note: This reference clock is the common ref clock that shall be used with PCIe. PERST1_n: PE-Reset is a functional reset to the card as defined as PERST# by the PCI Express Mini Card Electromechanical Specification. If dual port mode is supported by the card, PERST1_n will only be used if DualPortEn_n is low. CLKREQ_n: Clock Request is a reference clock request signal as defined as CLKREQ# by the PCI Express Mini CEM Specification. It is only supported in single port mode. If CLKREQ_n is 7

8 supported by the card, the signal is Open Drain with a pull up on host. CLKREQ_n is active low and used by L1 PM Substates. PRSNT0_n PRSNT1_n O O Active low signal. This signal indicates to the host that the card is electrically attached. PRSNT1_n: Active low signal. This signal is in the x8 connector as a 2 nd presence signal to indicate to the host that the card is electrically attached. Sideband Signals PRSNT2_n O PRSNT2_n: Active low signal. This signal is in the x16 connector as a 3 rd presence signal to indicate to the host that the card is electrically attached. SMBCLK I SMBus Clock, Open Drain with pull-up on host. SMBDATA I/O SMBus Data, Open Drain with pull-up on host. SMBRST_n I Active low signal: SMBRST_n is a reset for the management interface. It is expected to operate independently of PERST[0..1]_n. DualPortEn_n I Active low signal. This signal indicates if dual port mode is supported by the host. LED: Active high input signal. This signal is used LED/Activity to pass the LED state from the host to the device. I or Activity: Active high output signal. This allows the O device to provide status of data transfer to the host. PWRDIS I Power Disable. Active high. This signal notifies the device to turn off all systems connected to 12 V power. MFG I Manufacturing Mode for device. Host should not use/connect. RFU Reserved for Future Use 2.1 Power and Grounds The EDSFF connector supports a 12 V power source to power the majority of the card with a smaller 3.3 Vaux power source to provide power to manage sideband communication. Both rails are expected to be powered while the card is in the system. 2.2 PCIe Signals High Speed Signals (PERp/n, PETp/n) The PCIe interface supports a minimum of one (1) lane. A lane consists of an input and output differential pair. Refer to the PCI Express Base Specification for more details on the functional requirements of the interface signals. 8

9 PET on the card shall be connected to PER on the host. PER on the card shall be connected to PET on the host. Lane polarity reversal shall be supported to simplify host and card PCB trace routing constraints. Table 2 shows the connectivity in both single and dual port systems. Dual Port usage is indicated with DualPortEn_n assertion. Table 2: PCIe lanes connectivity in single and dual port implementations x4 Single Port (1 port x4) x4 Dual Port (2 ports x2) X8 Single Port (1 port x8) x8 Dual Port (2 ports x4) x16 Single Port (1 port x16) x16 Dual Port (2 ports x8) PCIe lanes PERp0, PERn0, PETp0, PETn0 Port A, lane 0 Port A, lane 0 Port A, lane 0 Port A, lane 0 Port A, lane 0 Port A, lane 0 PERp1, PERn1, PETp1, PETn1 Port A, lane 1 Port A, lane 1 Port A, lane 1 Port A, lane 1 Port A, lane 1 Port A, lane 1 PERp2, PERn2, PETp2, PETn2 Port A, lane 2 Port B, lane 0 Port A, lane 2 Port B, lane 0 Port A, lane 2 Port B, lane 0 PERp3, PERn3, PETp3, PETn3 Port A, lane 3 Port B, lane 1 Port A, lane 3 Port B, lane 1 Port A, lane 3 Port B, lane 1 PERp4, PERn4, PETp4, PETn4 No connect No connect Port A, lane 4 Port A, lane 2 Port A, lane 4 Port A, lane 2 PERp5, PERn5, PETp5, PETn5 No connect No connect Port A, lane 5 Port A, lane 3 Port A, lane 5 Port A, lane 3 PERp6, PERn6, PETp6, PETn6 No connect No connect Port A, lane 6 Port B, lane 2 Port A, lane 6 Port B, lane 2 PERp7, PERn7, PETp7, PETn7 No connect No connect Port A, lane 7 Port B, lane 3 Port A, lane 7 Port B, lane 3 PERp8, PERn8, PETp8, PETn8 No connect No connect No connect No connect Port A, lane 8 Port A, lane 4 PERp9, PERn9, PETp9, PETn9 No connect No connect No connect No connect Port A, lane 9 Port A, lane 5 PERp10, PERn10, PETp10, PETn10 No connect No connect No connect No connect Port A, lane 10 Port B, lane 4 PERp11, PERn11, PETp11, PETn11 No connect No connect No connect No connect Port A, lane 11 Port B, lane 5 PERp12, PERn12, PETp12, PETn12 No connect No connect No connect No connect Port A, lane12 Port A, lane 6 PERp13, PERn13, PETp13, PETn13 No connect No connect No connect No connect Port A, lane 13 Port A, lane 7 PERp14, PERn14, PETp14, PETn14 No connect No connect No connect No connect Port A, lane 14 Port B, lane 6 PERp15, PERn15, PETp15, PETn15 No connect No connect No connect No connect Port A, lane 15 Port B, lane Reference Clock The REFCLKp/REFCLKn signals are used to assist the synchronization of the device s PCI Express interface timing circuits. Refer to the PCI Express Card Electromechanical Specification for more details on the functional and tolerance requirements for the reference clock signals. There are two sets of clock pairs. In a single port implementation (indicated by DualPortEn_n deasserted), only REFCLKp0 and REFCLKn0 are used. In a dual port system (indicated by DualPortEn_n asserted), REFCLKp0 and REFCLKn0 connects to Port A while REFCLKp1 and REFCLKn1 connects to port B. 9

10 If SRIS or SRNS is supported by both the system and the device then these signals may not be connected PERST_n The PERST_n signal operates as a Link reset for PCI Express. Refer to the PCI Express Card Electromechanical Specification for more details on the functional requirements of the interface signals. In a single port implementation (indicated by DualPortEn_n de-asserted), only PERST0_n is used. In this situation, PERST1_n is not used; however, the CLKREQ_n function can still be used. If neither function is supported by the host, the signal should be tied to ground. In a dual port system (indicated by DualPortEn_n asserted), PERST0_n connects to Port A and PERST1_n connects to port B CLKREQ_n The CLKREQ_n signal is used by the L1 PM Substates mechanism. In this case, CLKREQ_n can be asserted by either the system or the device to initiate an L1 exit. See the PCI Express Base Specification for details on the functional requirements for the CLKREQ_n signal when implementing L1 PM Substates. If dualporten_n is asserted, CLKREQ_n shall not be used. 2.3 Side Band Signals PRSNT[0..2]_n The PRSNT_n signals are used to indicate physical presence of a card plugged into the connector. The x4 connector utilizes only one signal (PRSNT0_n). The x8 connector utilizes a second signal (PRSNT1_n). The x16 connector utilizes a third signal (PRSNT2_n) SMBus Interface The SMBus interface is intended as a side band management interface. SMBus is a two-wire interface through which various system component chips can communicate with each other and with rest of the system. It is based on I2C principles of operation. Refer to the SMBus Specification for details of the operation The SMBCLK signal provides the clock signaling from the SMBus master to the SMBus slave device to be able to decode the data on the SMBDATA line. The SMBDATA signal is used to transfer the data packets between the host and the device according to the SMBus protocol SMBRST_n The SMBRST_n signal is intended to place the portion of the SSD that brings the management of the SSD back to an initial state without interrupting the PCIe link or losing NVMe controller data. Details of what is reset as well as the implementation on the device is not defined in this specification. There are usages where the host may have SMBus connected to multiple devices. If the host holds SMBRST_n low, the device shall keep the SMBCLK and SMBDATA in a high impedance state and ignore any communication on SMBCLK and SMBDATA. 10

11 2.3.4 DualPortEn_n The SSD can be configured by the host as a single port or dual port device using the DualPortEn_n signal.. See Table 3 for expected functionality. Table 3: SSD configuration for single port vs. dual port. System DualPortEn_n state x4 SSD x8 SSD X16 SSD x4 De-asserted Single Port x4 Single Port x4 Single Port x4 Asserted Dual Port x2 Dual Port x2 Dual Port x2 x8 De-asserted Single Port x4 Single Port x8 Single Port x8 Asserted Dual Port x2 Dual Port x4 Dual Port x4 X16 De-asserted Single Port x4 Single Port x8 Single Port x16 Asserted Dual Port x2 Dual Port x4 Dual Port x LED/Activity The LED signal is asserted by the host to drive an LED on the card. The host use of the LED signal is optional. Details of the usage of LED is beyond the scope of this specification. The Activity signal is asserted by the card to indicate SSD activity. The host use of the Activity signal is optional. The blink patterns are beyond the scope of this specification. To prevent contention, either LED or Activity will be supported but not both. It is up to the system implementation to set the correct function. The default function shall be LED which is an input to the device PWRDIS The PWRDIS signal is asserted to tell the card to shut off power to all circuitry connected to the 12 V power supply MFG The MFG signal is used for device manufacturing only. This signal shall be electrically no-connect on the host. Post device manufacturing, the device manufacturer shall ensure that the pin is disabled RFU Signals documented as RFU are reserved for future use. These pins shall be electrically no-connect on the host and the card. These pins are reserved for future assignment as a functional signal. 2.4 Connector pinout definitions The following tables show the signal pinouts for the Card edge connector. These pinouts are shown from the card point of view. Table 4 lists the pinout for the x4 connector Table 5 lists the pinout for the x8 connector 11

12 Table 6 lists the pinout for the x16 connector Table 4: EDSFF x4 card edge pinout Pin Signal Signal Pin A28 GND GND B28 A27 PETp3 PERp3 B27 A26 PETn3 PERn3 B26 A25 GND GND B25 A24 PETp2 PERp2 B24 A23 PETn2 PERn2 B23 A22 GND GND B22 A21 PETp1 PERp1 B21 A20 PETn1 PERn1 B20 A19 GND GND B19 A18 PETp0 PERp0 B18 A17 PETn0 PERn0 B17 A16 GND GND B16 A15 REFCLKp1 REFCLKp0 B15 A14 REFCLKn1 REFCLKn0 B14 A13 GND GND B13 A12 PRSNT0_n PWRDIS B12 A11 PERST1_n/CLKREQ_n 3.3 Vaux B11 A10 LED/Activity PERST0_n B10 A9 SMBRST_n DualPortEn_n B9 A8 SMBDAT RFU B8 A7 SMBCLK MFG B7 A6 GND 12 V B6 A5 GND 12 V B5 A4 GND 12 V B4 A3 GND 12 V B3 A2 GND 12 V B2 A1 GND 12 V B1 Table 5: EDSFF x8 card edge pinout Pin Signal Signal Pin A42 RFU PRSNT1_n B42 A41 GND GND B41 12

13 A40 PETp7 PERp7 B40 A39 PETn7 PERn7 B39 A38 GND GND B38 A37 PETp6 PERp6 B37 A36 PETn6 PERn6 B36 A35 GND GND B35 A34 PETp5 PERp5 B34 A33 PETn5 PERn5 B33 A32 GND GND B32 A31 PETp4 PERp4 B31 A30 PETn4 PERn4 B30 A29 GND GND B29 Key Key A28 GND GND B28 A27 PETp3 PERp3 B27 A26 PETn3 PERn3 B26 A25 GND GND B25 A24 PETp2 PERp2 B24 A23 PETn2 PERn2 B23 A22 GND GND B22 A21 PETp1 PERp1 B21 A20 PETn1 PERn1 B20 A19 GND GND B19 A18 PETp0 PERp0 B18 A17 PETn0 PERn0 B17 A16 GND GND B16 A15 REFCLKp1 REFCLKp0 B15 A14 REFCLKn1 REFCLKn0 B14 A13 GND GND B13 A12 PRSNT0_n PWRDIS B12 A11 PERST1_n/CLKREQ_n 3.3 Vaux B11 A10 LED/Activity PERST0_n B10 A9 SMBRST_n DualPortEn_n B9 A8 SMBDAT RFU B8 A7 SMBCLK MFG B7 A6 GND 12 V B6 A5 GND 12 V B5 A4 GND 12 V B4 A3 GND 12 V B3 A2 GND 12 V B2 13

14 A1 GND 12 V B1 Table 6: EDSFF x16 card edge pinout Pin Signal Signal Pin A70 RFU PRSNT2_n B70 A69 RFU RFU B69 A68 RFU RFU B68 A67 GND GND B67 A66 PETp15 PERp15 B66 A65 PETn15 PERn15 B65 A64 GND GND B64 A63 PETp14 PERp14 B63 A62 PETn14 PERn14 B62 A61 GND GND B61 A60 PETp13 PERp13 B60 A59 PETn13 PERn13 B59 A58 GND GND B58 A57 PETp12 PERp12 B57 A56 PETn12 PERn12 B56 A55 GND GND B55 A54 PETp11 PERp11 B54 A53 PETn11 PERn11 B53 A52 GND GND B52 A51 PETp10 PERp10 B51 A50 PETn10 PERn10 B50 A49 GND GND B49 A48 PETp9 PERp9 B48 A47 PETn9 PERn9 B47 A46 GND GND B46 A45 PETp8 PERp8 B45 A44 PETn8 PERn8 B44 A43 GND GND B43 Key Key A42 RFU PRSNT1_n B42 A41 GND GND B41 A40 PETp7 PERp7 B40 A39 PETn7 PERn7 B39 A38 GND GND B38 14

15 A37 PETp6 PERp6 B37 A36 PETn6 PERn6 B36 A35 GND GND B35 A34 PETp5 PERp5 B34 A33 PETn5 PERn5 B33 A32 GND GND B32 A31 PETp4 PERp4 B31 A30 PETn4 PERn4 B30 A29 GND GND B29 Key Key A28 GND GND B28 A27 PETp3 PERp3 B27 A26 PETn3 PERn3 B26 A25 GND GND B25 A24 PETp2 PERp2 B24 A23 PETn2 PERn2 B23 A22 GND GND B22 A21 PETp1 PERp1 B21 A20 PETn1 PERn1 B20 A19 GND GND B19 A18 PETp0 PERp0 B18 A17 PETn0 PERn0 B17 A16 GND GND B16 A15 REFCLKp1 REFCLKp0 B15 A14 REFCLKn1 REFCLKn0 B14 A13 GND GND B13 A12 PRSNT0_n PWRDIS B12 A11 PERST1_n/CLKREQ_n 3.3VAux B11 A10 LED_n/Activity PERST0_n B10 A9 SMBRST_n DualPortEn_n B9 A8 SMBDAT RFU B8 A7 SMBCLK MFG B7 A6 GND 12V B6 A5 GND 12V B5 A4 GND 12V B4 A3 GND 12V B3 A2 GND 12V B2 A1 GND 12V B1 15

16 3 Card Edge and Connector Specification For details of the card edge mechanicals, connector mechanicals, and connector performance requirements, please refer to the Protocol Agnostic Multi-Lane High Speed Connector specification (SFF- TA-1002). 16

SFF specifications are available at SFF-TA Specification for. Rev 0.0.

SFF specifications are available at  SFF-TA Specification for. Rev 0.0. SFF specifications are available at http://www.snia.org/sff/specifications SFF-TA-1009 Specification for Rev 0.0.1 January 22, 2018 Secretariat: SFF TA TWG Abstract: This specification defines the pin

More information

Published SFF-TA-1009 Rev 2.0. SFF specifications are available at SFF-TA-1009.

Published SFF-TA-1009 Rev 2.0. SFF specifications are available at  SFF-TA-1009. SFF specifications are available at http://www.snia.org/sff/specifications SFF-TA-1009 Specification for Enterprise and Datacenter SSD Pin and Signal Specification Rev 2.0 May 22, 2018 Secretariat: SFF

More information

Enterprise and Datacenter. SSD Form Factor. 1U Short Specification

Enterprise and Datacenter. SSD Form Factor. 1U Short Specification Enterprise and Datacenter SSD Form Factor 1U Short Specification Revision 0.9 Draft October 27, 2017 Enterprise and Datacenter SSD Form Factor Working Group Please send comments to Anthony Constantine

More information

Enterprise and Datacenter. SSD Form Factor. 1U Long Specification

Enterprise and Datacenter. SSD Form Factor. 1U Long Specification Enterprise and Datacenter SSD Form Factor 1U Long Specification Revision 0.9 Draft November 9, 2017 Enterprise and Datacenter SSD Form Factor Working Group Please send comments to Anthony Constantine anthony.m.constantine@intel.com

More information

NVMe/PCIe - HDD Ramifications OCP Discussion of Options for PCIe/NVMe HDD Devices

NVMe/PCIe - HDD Ramifications OCP Discussion of Options for PCIe/NVMe HDD Devices DATA IS POTENTIAL NVMe/PCIe - HDD Ramifications OCP Discussion of Options for PCIe/NVMe HDD Devices Jon Trantham, Seagate Research January 23 rd, 2019 Legal Disclaimers Disclaimer This document is provided

More information

REF-TA Pin Assignment Reference for SFF-TA-1002 Connectors

REF-TA Pin Assignment Reference for SFF-TA-1002 Connectors SFF specifications are available at http://www.snia.org/sff/specifications. REF-TA-1012 Specification for Pin Assignment Reference for SFF-TA-1002 Connectors Rev 0.0.2 November 08, 2018 Secretariat: SFF

More information

SFF specifications are available at SFF-TA Specification for. Universal x4 Link Definition for SFF-8639

SFF specifications are available at  SFF-TA Specification for. Universal x4 Link Definition for SFF-8639 SFF specifications are available at http://www.snia.org/sff/specifications Specification for Universal x4 Link Definition for SFF-8639 Rev 1.0 November 3, 2017 Secretariat: SFF TA TWG Abstract: This specification

More information

1.1. Summary of the Functional Changes

1.1. Summary of the Functional Changes PCI-SIG ENGINEERING CHANGE NOTICE TITLE: NCTF Ground Ball Definition for PCIe BGA SSD 11.5x13 DATE: May 18, 2018 AFFECTED DOCUMENT: PCIe BGA SSD 11.5x13 ECN SPONSOR: Intel, Western Digital Part I 1.1.

More information

PCI-SIG ENGINEERING CHANGE NOTICE

PCI-SIG ENGINEERING CHANGE NOTICE PCI-SIG ENGINEERING CHANGE NOTICE TITLE: Mini-WFF Alignment Changes DATE: Updated: May 27, 2005 (Original submittal May 14, 2005) AFFECTED DOCUMENT: PCIe Mini CEM Specification, Revision 1.1 SPONSOR: Brad

More information

M.2 Evolves to Storage Benefit

M.2 Evolves to Storage Benefit M.2 Evolves to Storage Benefit Anthony Constantine Platform Architect Intel Corporation August 2018 1 M.2 Growth in the Market M.2 SSDs have strong and growing adoption in client Dominant form factor in

More information

Development SFF-8667 Rev 0.5. SFF specifications are available at SFF-8667.

Development SFF-8667 Rev 0.5. SFF specifications are available at  SFF-8667. SFF specifications are available at http://www.snia.org/sff/specifications SFF-8667 Specification for Module with High Density Connector for Enclosure Applications Rev 0.5 November 2, 2017 Secretariat:

More information

EWM-W157H01E EWM-W157H01E Datasheet

EWM-W157H01E EWM-W157H01E Datasheet EWM-W157H01E Datasheet REV 1.1 Page 1 of 12 Apr. 28, 2015 CONTENTS 1. Overview... 4 2. Features... 5 3. Specification... 6 3.1 Absolute Maximum Ratings... 7 3.2 Recommended Operating Conditions... 7 3.3

More information

Chapter 1 Introduction Features Getting Help Chapter 2 Architecture Layout and Components... 4

Chapter 1 Introduction Features Getting Help Chapter 2 Architecture Layout and Components... 4 CONTENTS Chapter 1 Introduction... 2 1.1 Features... 2 1.2 Getting Help... 3 Chapter 2 Architecture... 4 2.1 Layout and Components... 4 2.2 Block Diagram of the PCA Board... 5 Chapter 3 Board Components...

More information

Cervoz Industrial Embedded Module

Cervoz Industrial Embedded Module Cervoz Industrial Embedded Module M.2 2280 NVMe Momentum Series (MLC) M410 Family Product Datasheet Date: 2016.09.26 Revision: 1.0 File: Cervoz_Industrial_Embedded_Module_M.2_2280_M410_Datasheet_Rev1.0

More information

CE-ATA Embedded Cable and Connector Specification

CE-ATA Embedded Cable and Connector Specification CE-ATA Embedded Cable and Connector Specification Révision 1.0 23-August-2005 Apple Computer Corporation Hitachi Global Storage Technologies Corporation Intel Corporation Marvell Semiconductor Corporation

More information

Published SFF-TA-1005 Rev 1.1. SFF specifications are available at SFF-TA-1005.

Published SFF-TA-1005 Rev 1.1. SFF specifications are available at   SFF-TA-1005. SFF specifications are available at http://www.snia.org/sff/specifications SFF-TA-1005 Specification for Universal Backplane Management () Rev 1.1 November 19, 2018 Secretariat: SFF TA TWG Abstract: This

More information

EXPRESSCARD COMPLIANCE CHECKLISTS. Release 1.2 Revision 1

EXPRESSCARD COMPLIANCE CHECKLISTS. Release 1.2 Revision 1 EXPRESSCARD COMPLIANCE CHECKLISTS Release 1.2 Revision 1 RELEASE 1.2 EXPRESSCARD COMPLIANCE CHECKLISTS REVISION HISTORY Date Specification Version Revisions September 17, 2004 1.0 Release Initial Release

More information

DS-MPE-SER4OPT. PCIe MiniCard 4 Port Opto-isolated Serial Module Rev A.01 September 2013

DS-MPE-SER4OPT. PCIe MiniCard 4 Port Opto-isolated Serial Module Rev A.01 September 2013 DS-MPE-SER4OPT PCIe MiniCard 4 Port Opto-isolated Serial Module Rev A.01 September 2013 Revision Date Comment A.00 8/16/2013 Initial release A.01 9/24/2013 Minor updates FOR TECHNICAL SUPPORT PLEASE CONTACT:

More information

Development SFF-TA-1007 Rev SFF specifications are available at SFF-TA-1007.

Development SFF-TA-1007 Rev SFF specifications are available at  SFF-TA-1007. SFF specifications are available at http://www.snia.org/sff/specifications SFF-TA-1007 Specification for Rev 0.0.1 December 19, 2017 Secretariat: SFF TA TWG Abstract: This specification defines the mechanical

More information

SFF specifications are available at SFF-TA Specification for

SFF specifications are available at  SFF-TA Specification for SFF specifications are available at http://www.snia.org/sff/specifications SFF-TA-1006 Specification for Rev 0.0.1 December 11, 2017 Secretariat: SFF TA TWG Abstract: This specification defines the mechanical

More information

User Manual, PCIe x8 Gen 2 Expansion Kit (OSS-KIT-EXP M)

User Manual, PCIe x8 Gen 2 Expansion Kit (OSS-KIT-EXP M) User Manual, PCIe x8 Gen 2 Expansion Kit () Table of Contents 1 Overview 1.a. Description... 3 2 Component Identification 2.a. Host cable adapter... 4 2.b. Target cable adapter... 4 2.c. OSS 2-slot backplane...

More information

Development SFF-8667 Rev 0.3. SFF specifications are available at SFF-8667.

Development SFF-8667 Rev 0.3. SFF specifications are available at  SFF-8667. SFF specifications are available at http://www.snia.org/sff/specifications SFF-8667 Specification for Module with High Density Connector for Enclosure Applications Rev 0.3 July 12, 2017 Secretariat: SFF

More information

EXPRESSCARD STANDARD. Release 2.0 February 2009

EXPRESSCARD STANDARD. Release 2.0 February 2009 EXPRESSCARD STANDARD Release 2.0 February 2009 PCMCIA 2009 PCMCIA All rights reserved. Printed in the United States of America. PCMCIA (Personal Computer Memory Card International Association) 2635 North

More information

Reference Manual. VL-MPEe-E5E. MiniPCIe Dual Ethernet Module. REV. June 2018

Reference Manual. VL-MPEe-E5E. MiniPCIe Dual Ethernet Module. REV. June 2018 Reference Manual REV. June 2018 VL-MPEe-E5E MiniPCIe Dual Ethernet Module WWW.VERSALOGIC.COM 12100 SW Tualatin Road Tualatin, OR 97062-7341 (503) 747-2261 Fax (971) 224-4708 Copyright 2018 VersaLogic Corp.

More information

XG3 SERIES CLIENT SSD

XG3 SERIES CLIENT SSD XG3 SERIES CLIENT SSD The Toshiba client-focused XG3 Series combines the high speed of the PCIe 3.1 interface, the low latency of NVM Express (NVMe TM ), and Toshiba s proprietary NAND flash memory for

More information

DAXA-ED5 Specifica on

DAXA-ED5 Specifica on DAXA-ED5 Specifica on 802.11a/n/ac 5GHz 4x4 Wave 2 PCIe mini card, QCA9980 Overview: DAXA-ED5 is an 802.11a/n/ac 5GHz single band 4x4 MU-MIMO Wave 2 PCI-e mini card module which is designed to optimize

More information

A.G.P. Pro Specification

A.G.P. Pro Specification A.G.P. Pro Specification Revision 1.0 Intel Corporation August 1998 A.G.P. Pro Specification Copyright Intel Corporation 1998 All rights reserved. This specification is provided AS IS with no warranties

More information

PCI Express Mini Card Electromechanical Specification Revision 1.1RD

PCI Express Mini Card Electromechanical Specification Revision 1.1RD PCI Express Mini Card Electromechanical Specification Revision 1.1RD July 16, 2004 PCI EXPRESS MINI CARD ELECTROMECHANICAL SPECIFICATION, REV 1.1RD Revision Revision History Date 1.0 Initial release. 6/02/03

More information

DRAFT SFF-TA-1006 Rev SFF-TA Enterprise and Datacenter 1U Short SSD Form Factor (E1.S)

DRAFT SFF-TA-1006 Rev SFF-TA Enterprise and Datacenter 1U Short SSD Form Factor (E1.S) 0 0 0 0 SFF-TA-00 Specification for Enterprise and Datacenter U Short SSD Form Factor (E.S) Rev.. March, 0 SECRETARIAT: SFF TA TWG This specification is made available for public review at http://www.snia.org/sff/specifications.

More information

TA0357. Overview of USB Type-C and Power Delivery technologies. Technical article. Introduction

TA0357. Overview of USB Type-C and Power Delivery technologies. Technical article. Introduction Technical article Overview of USB Type-C and Power Delivery technologies Introduction The USB Type-C and Power Delivery technologies have revolutionized cable connectivity with the ability to carry all

More information

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0a

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0a PCI-X Addendum to the PCI Compliance Checklist Revision 1.0a August 29, 2000 PCI-X Addendum to the PCI Compliance Checklist REVISION REVISION HISTORY DATE 1.0 Initial Release 3/1/00 1.0a Updates for PCI-X

More information

89HPES4T4[3T3]QFN Hardware Design Guide

89HPES4T4[3T3]QFN Hardware Design Guide 89HPES4T4[3T3]QFN Hardware Design Guide Notes Introduction This document provides general guidelines to help design IDT s 89 PCI Express 4-port switch () and also applies to the PES3T3QFN. This document

More information

CONTENTS. 1.1 Features About the KIT Getting Help Layout and Components Block Diagram of the PCA Board...

CONTENTS. 1.1 Features About the KIT Getting Help Layout and Components Block Diagram of the PCA Board... CONTENTS CHAPTER 1 INTRODUCTION OF THE PCA CARD...2 1.1 Features...2 1.2 About the KIT...3 1.3 Getting Help...4 CHAPTER 2 PCA CARD ARCHITECTURE...5 2.1 Layout and Components...5 2.2 Block Diagram of the

More information

Development SFF-TA-1007 Rev SFF specifications are available at SFF-TA-1007.

Development SFF-TA-1007 Rev SFF specifications are available at   SFF-TA-1007. SFF specifications are available at http://www.snia.org/sff/specifications SFF-TA-1007 Specification for (E1.L) Rev 1.0.10 October 24, 2018February 2, 2018 Secretariat: SFF TA TWG Abstract: This specification

More information

Specification. date: ,75 50,95 30,00

Specification. date: ,75 50,95 30,00 4,75 50,95 30,00 date: 09.06.2009 Contents: device overall description 802.11 wireless lan - features - block diagram - modulation methods - channel assignment - security (WEP key) - RF characteristics

More information

Open Compute Project - 25Gb/s Ethernet Mezzanine Card. 25Gb/s Ethernet Mezzanine Card. Rev 1.0

Open Compute Project - 25Gb/s Ethernet Mezzanine Card. 25Gb/s Ethernet Mezzanine Card.  Rev 1.0 25Gb/s Ethernet Mezzanine Card http://opencompute.org Rev 1.0 1 Contents 1 Contents... 2 2 Overview... 3 2.1 License... 3 3 Card Features... 4 3.1 Form Factor... 4 3.2 Major Components... 8 3.3 Connector...

More information

89HPES24T3G2 Hardware Design Guide

89HPES24T3G2 Hardware Design Guide 89H Hardware Design Guide Notes Introduction This document provides system design guidelines for IDT 89H PCI Express (PCIe ) 2. base specification compliant switch device. The letters "G2" within the device

More information

User Guide M.2 Development Kit (DVK-SU C) Version 1.0

User Guide M.2 Development Kit (DVK-SU C) Version 1.0 A M.2 Development Kit (DVK-SU60-2230C) Version 1.0 REVISION HISTORY Version Date Notes Approver 1.0 29 July 2017 Initial Release Jay White 2 CONTENTS 1. Overview...4 1.1 Introduction...4 1.2 Package Contents...4

More information

Facebook / Microsoft M.2 Carrier Card Design Specification

Facebook / Microsoft M.2 Carrier Card Design Specification Facebook / Microsoft M.2 Carrier Card Design Specification Version 1.0 Authors: Chris Petersen, Hardware System Engineer, Facebook Inc. Mike Yan, Hardware Engineer, Facebook Inc. Mark A Shaw, Senior Hardware

More information

SFF-9402 Reference Guide for Multi-Protocol Internal Cables for SAS and/or PCIe Rev 0.7 December 12, 2016

SFF-9402 Reference Guide for Multi-Protocol Internal Cables for SAS and/or PCIe Rev 0.7 December 12, 2016 SFF specifications are available at http://www.snia.org/sff/specifications SFF-9402 Reference Guide for Multi-Protocol Internal Cables for SAS and/or PCIe Rev 0.7 December 12, 2016 Abstract: This reference

More information

Product Specification

Product Specification P901 H LTE Mini PCIe Card Product Specification Version Issue date Changes Remark 0.1 2017/10/18 Initial Version IMPORTANT This document contains important information and Should not be disclosed to third

More information

PCI-SIG ENGINEERING CHANGE NOTICE

PCI-SIG ENGINEERING CHANGE NOTICE TITLE: PCI-SIG ENGINEERING CHANGE NOTICE Optimized Buffer Flush/Fill DATE: Updated 30 April 2009, original request: 8 February 2008 AFFECTED DOCUMENTS: SPONSORS: Part I PCI Express Base Specification,

More information

Technical Information S20-NVME. NVMe SSD Storage & Type-C Front I/O Mezzanine Module

Technical Information S20-NVME. NVMe SSD Storage & Type-C Front I/O Mezzanine Module Technical Information S20-NVME NVMe SSD Storage & Type-C Front I/O Mezzanine Module Document No. 8531 Ed. 3 18 May 2018 Preliminary Edition Contents About this Manual... 3 Edition History... 3 Related

More information

PCIe on 3U and 6U CompactPCI Mark Wetzel Principal Engineer National Instruments

PCIe on 3U and 6U CompactPCI Mark Wetzel Principal Engineer National Instruments Copyright 2005, PCI-SIG, All Rights Reserved 1 PCIe on 3U and 6U CompactPCI Mark Wetzel Principal Engineer National Instruments Copyright 2005, PCI-SIG, All Rights Reserved 2 Agenda Overview of CompactPCI

More information

XG6 SERIES CLIENT SSD

XG6 SERIES CLIENT SSD XG6 SERIES CLIENT SSD The XG6 series utilizes Toshiba Memory's latest 96-layer, 3D TLC (3-bit-per-cell) flash memory. With 4 th generation BiCS FLASH TM and SLC cache features, XG6 SSDs reach up to sequential

More information

DS-MPE-GPIO. PCIe MiniCard Digital I/O Module with FPGA. Rev A.1 June 2015

DS-MPE-GPIO. PCIe MiniCard Digital I/O Module with FPGA. Rev A.1 June 2015 DS-MPE-GPIO PCIe MiniCard Digital I/O Module with FPGA Rev A.1 June 2015 Revision Date Comment A.0 8/27/2014 Initial release A.1 6/17/15 Corrected pin out information FOR TECHNICAL SUPPORT PLEASE CONTACT:

More information

XG5-P SERIES CLIENT SSD

XG5-P SERIES CLIENT SSD XG5-P SERIES CLIENT SSD The premium XG5-P series, maintaining the key features of the XG5 series such as NVMe TM revision 1.2.1, single-sided M.2 2280 form factor and low power consumption, delivers enhanced

More information

4I73 MANUAL PC/104-PLUS CABLED BRIDGE

4I73 MANUAL PC/104-PLUS CABLED BRIDGE 4I73 MANUAL PC/104-PLUS CABLED BRIDGE PRELIMINARY V1.0 Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

PCI Express Link/Transaction Test Methodology

PCI Express Link/Transaction Test Methodology PCI Express Link/Transaction Test Methodology September 29, 2006 Revision 1.1 This page is intentionally left blank. 2 PCI Express Link/Transaction Test Methodology, Rev 1.1 Revision History Document

More information

Proposal for SAS 2.1 Specification to Enable Support for Active Cables

Proposal for SAS 2.1 Specification to Enable Support for Active Cables 08-358r3 Proposal for SAS 2.1 Specification to Enable Support for Active Cables Revision 13 Gourgen Oganessyan QUELLAN January 12, 2009 Introduction Inclusion of active cable interconnect option into the

More information

PCI Express Card Electromechanical Specification Revision 2.0

PCI Express Card Electromechanical Specification Revision 2.0 PCI Express Card Electromechanical Specification Revision 2.0 April 11, 2007 Revision Revision History Date 1.0 Initial release. 7/22/2002 1.0a Incorporated WG Errata C1-C7 and E1. 4/1/2003 1.1 Incorporated

More information

Product Specification XW225E

Product Specification XW225E XW225E 802.11ABGN 2T2R PCIe mcard Page 1 of 8 Product Specification XW225E IEEE802.11ABGN 2T2R PCIe Mini-Card Version: 1.0 Date: Dec. 27, 2012 XW225E 802.11ABGN 2T2R PCIe mcard Page 2 of 8 Release History

More information

Intel Serial to Parallel PCI Bridge Evaluation Board

Intel Serial to Parallel PCI Bridge Evaluation Board Intel 41210 Serial to Parallel PCI Bridge Evaluation Board User s Guide October 2004 Order Number: 278947-002 INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE, EXPRESS

More information

SUPERTALENT SUPERCACHE (AIC34) DATASHEET

SUPERTALENT SUPERCACHE (AIC34) DATASHEET SUPERTALENT SUPERCACHE (AIC34) DATASHEET HHHL PCIE GEN3 X4 SOLID STATE DRIVE Copyright, Property of Super Talent Technology. All rights reserved. The information and specification provided in this document

More information

DS1-LEOPARD. XMC Module. 6G SAS Controller. 6G SAS Controller

DS1-LEOPARD. XMC Module. 6G SAS Controller. 6G SAS Controller Product Information DS1-LEOPARD XMC Module 6G SAS Controller Document No. 7627 17 August 2015 6G SAS Controller Document No. 7627 8 May 2015 The DS1-LEOPARD is an XMC style mezzanine card, equipped with

More information

PCI Express TM Architecture. PHY Electrical Test Considerations Revision 1.1

PCI Express TM Architecture. PHY Electrical Test Considerations Revision 1.1 PCI Express TM Architecture PHY Electrical Test Considerations Revision 1.1 February 2007 i PHY ELECTRICAL TEST CONSIDERATIONS, REVISION 1.1 REVISION REVISION HISTORY DATE 1.0 Initial Release. 4/26/2004

More information

82577 Schematic Checklist (Version 2.1)

82577 Schematic Checklist (Version 2.1) 82577 Schematic Checklist (Version 2.1) Project Name Fab Revision Date Designer Intel Contact Reviewer SECTION CHECK ITEMS REMARKS DONE COMMENTS Completed by: Design Engineer Name: General Obtain the most

More information

Enhanced Serial Peripheral Interface (espi) ECN

Enhanced Serial Peripheral Interface (espi) ECN Enhanced Serial Peripheral Interface (espi) ECN Engineering Change Notice TITLE Clarify OOB packet payload DATE 10 January 2014 AFFECTED DOCUMENT espi Base Specification Rev 0.75 DISCLOSURE RESTRICTIONS

More information

Enhanced Serial Peripheral Interface (espi)

Enhanced Serial Peripheral Interface (espi) Enhanced Serial Peripheral Interface (espi) Addendum for Server Platforms December 2013 Revision 0.7 329957 0BIntroduction Intel hereby grants you a fully-paid, non-exclusive, non-transferable, worldwide,

More information

for Summit Analyzers Installation and Usage Manual

for Summit Analyzers Installation and Usage Manual Protocol Solutions Group PCI Express 2.0 Mid-Bus Probe for Summit Analyzers Installation and Usage Manual Manual Version 1.1 Generated on: 2/7/2018 6:25 PM Document Disclaimer The information contained

More information

MPX-8188 IEEE b/g/n Wi-Fi Mini Card User s Manual

MPX-8188 IEEE b/g/n Wi-Fi Mini Card User s Manual MPX-8188 IEEE 802.11b/g/n Wi-Fi Mini Card User s Manual Edition 1.0 2016/03/17 Index 1 ... 2 2 ... 3 3 ... 3 4 ... 4 4.1 < Recommended

More information

802.11n a/b/g 2x2 wifi and Bluetooth HS combo PCIe half-mini card, WB222/AR9462. Model: DHXA-222

802.11n a/b/g 2x2 wifi and Bluetooth HS combo PCIe half-mini card, WB222/AR9462. Model: DHXA-222 802.11n a/b/g 2x2 wifi and Bluetooth 4.0 + HS combo PCIe half-mini card, WB222/AR9462 Model: DHXA-222 DHXA-222 is a single-chip solution that combines dual-band (2.4/5GHz) 2-stream 802.11n WiFi and Bluetooth

More information

PMBus Power System Management Protocol Specification Part I General Requirements, Transport And Electrical Interface

PMBus Power System Management Protocol Specification Part I General Requirements, Transport And Electrical Interface PMBus Power System Management Protocol Specification Part I General Requirements, Transport And Electrical Interface Revision 1.0 28 March 2005 www.powersig.org 2005 System Management Interface Forum,

More information

XG5 SERIES CLIENT SSD

XG5 SERIES CLIENT SSD f XG5 SERIES CLIENT SSD XG5 series SSDs feature Toshiba s latest 64-layer, 3D TLC (3-bit-per-cell) flash memory BiCS FLASH. This new line of NVMe based client SSDs deliver high performance up to 3000 MB/s

More information

3ME2 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date:

3ME2 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: 3ME2 Series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents LIST OF FIGURES... 6 1. PRODUCT OVERVIEW... 7 1.1 INTRODUCTION

More information

SPECIFICATION 正基科技股份有限公司 PRODUCT NAME:AP12356_M2I REVISION:0.8. DATE:January 18 th, Customer APPROVED. Company. Representative Signature REVIEW

SPECIFICATION 正基科技股份有限公司 PRODUCT NAME:AP12356_M2I REVISION:0.8. DATE:January 18 th, Customer APPROVED. Company. Representative Signature REVIEW 正基科技股份有限公司 SPECIFICATION PRODUCT NAME:AP12356_M2I REVISION:0.8 DATE:January 18 th, 2019 Customer APPROVED Company Representative Signature PREPARED PM REVIEW QA APPROVED DCC ISSUE 保存期限 : 最新版本 C-RD-047A

More information

HyperTransport Consortium

HyperTransport Consortium HyperTransport Consortium HTX3 Specification for HyperTransport 3.0 Daughtercards and ATX/EATX Motherboards Document # HTC20080701-00030-0001 Author: David Emberson dre@alum.mit.edu Rohala Limited, LLC

More information

PCI Express External Cabling Specification Revision 1.0

PCI Express External Cabling Specification Revision 1.0 PCI Express External Cabling Specification Revision 1.0 January 4, 2007 Revision Revision History DATE 1.0 Initial public release. 1/4/2007 PCI-SIG disclaims all warranties and liability for the use of

More information

EVB-USB2514Q48 48-Pin QFN Evaluation Board Revision A1

EVB-USB2514Q48 48-Pin QFN Evaluation Board Revision A1 EVB-USB2514Q48 48-Pin QFN Evaluation Board Revision A1 Copyright 2007 SMSC or its subsidiaries. All rights reserved. The information contained herein is proprietary to SMSC and shall be used solely in

More information

PCI Express Label Specification and Usage Guidelines Revision 1.0

PCI Express Label Specification and Usage Guidelines Revision 1.0 PCI Express Label Specification and Usage Guidelines Revision 1.0 June 1, 2006 REVISION REVISION HISTORY DATE 1.0 Initial release 06/1/2006 PCI-SIG disclaims all warranties and liability for the use of

More information

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a July 22, 2003 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections. 7/24/00

More information

802.11n a/b/g 2x2 wifi and Bluetooth HS combo PCIe half-mini card, WB222/AR9462. Model: DHXA-222

802.11n a/b/g 2x2 wifi and Bluetooth HS combo PCIe half-mini card, WB222/AR9462. Model: DHXA-222 802.11n a/b/g 2x2 wifi and Bluetooth 4.0 + HS combo PCIe half-mini card, WB222/AR9462 Model: DHXA-222 DHXA-222 is a single-chip solution that combines dual-band (2.4/5GHz) 2-stream 802.11n WiFi and Bluetooth

More information

Introduction to EDSFF

Introduction to EDSFF Introduction to EDSFF Delivering the Building Blocks for the Next Decade of Enterprise & Datacenter Storage Form Factors Jonathan Hinkle, Lenovo Mark Shaw, Microsoft August 8, 2017 Samsung Flash Memory

More information

PCI Express Card Electromechanical Specification Revision 1.1

PCI Express Card Electromechanical Specification Revision 1.1 PCI Express Card Electromechanical Specification Revision 1.1 March 28, 200 Revision Revision History Date 1.0 Initial release. 7/22/02 1.0a Incorporated WG Errata C1-C7 and E1. 4/1/03 1.1 Incorporated

More information

PremierWave 2050 Enterprise Wi-Fi IoT Module Evaluation Kit User Guide

PremierWave 2050 Enterprise Wi-Fi IoT Module Evaluation Kit User Guide PremierWave 2050 Enterprise Wi-Fi IoT Module Evaluation Kit User Guide Part Number 900-765-R Revision A February 2016 Intellectual Property 2016 Lantronix, Inc. All rights reserved. No part of the contents

More information

COM Express Carrier Design Guide

COM Express Carrier Design Guide COM Express Carrier Design Guide Guidelines for designing COM Express Carrier Boards March, 009 Rev..0 This design guide is not a specification. It contains additional detail information but does not replace

More information

Ethernet1 Xplained Pro

Ethernet1 Xplained Pro Ethernet1 Xplained Pro Part Number: ATETHERNET1-XPRO The Atmel Ethernet1 Xplained Pro is an extension board to the Atmel Xplained Pro evaluation platform. The board enables the user to experiment with

More information

PCI Express x16 Graphics 150W-ATX Specification Revision 1.0

PCI Express x16 Graphics 150W-ATX Specification Revision 1.0 PCI Express x16 Graphics 150W-ATX Specification Revision 1.0 October 25, 2004 Revision Revision History Date 1.0 Initial release. 10/25/04 PCI-SIG disclaims all warranties and liability for the use of

More information

AN10428 UART-SPI Gateway for Philips SPI slave bridges

AN10428 UART-SPI Gateway for Philips SPI slave bridges UART-SPI Gateway for Philips SPI slave bridges Rev. 01 7 March 2006 Application note Document information Info Keywords Abstract Content UART-SPI Gateway, UART to SPI, RS-232 to SPI The UART-SPI Gateway

More information

USER GUIDE. Atmel OLED1 Xplained Pro. Preface

USER GUIDE. Atmel OLED1 Xplained Pro. Preface USER GUIDE Atmel OLED1 Xplained Pro Preface Atmel OLED1 Xplained Pro is an extension board to the Atmel Xplained Pro evaluation platform. The board enables the user to experiment with user interface applications

More information

BG3 SERIES CLIENT SSD

BG3 SERIES CLIENT SSD BG3 SERIES CLIENT SSD The BG3 series leverages 64-layer, 3-bit-per-cell (TLC) BiCS FLASH and features NVMe TM Revision 1.2.1. With Host Memory Buffer (HMB) technology, this SSD series retains high performance

More information

BG3 SERIES CLIENT SSD

BG3 SERIES CLIENT SSD BG3 SERIES CLIENT SSD The BG3 series leverages 64-layer, 3-bit-per-cell (TLC) BiCS FLASH and features NVMe TM Revision 1.2.1. With Host Memory Buffer (HMB) technology, this SSD series retains high performance

More information

PCIE PCIE GEN2 EXPANSION SYSTEM USER S MANUAL

PCIE PCIE GEN2 EXPANSION SYSTEM USER S MANUAL PCIE2-2711 PCIE GEN2 EXPANSION SYSTEM USER S MANUAL The information in this document has been carefully checked and is believed to be entirely reliable. However, no responsibility is assumed for inaccuracies.

More information

ST19NP18-TPM-I2C Trusted Platform Module (TPM) with I²C Interface Features

ST19NP18-TPM-I2C Trusted Platform Module (TPM) with I²C Interface Features Trusted Platform Module (TPM) with I²C Interface Data brief Features Single-chip Trusted Platform Module (TPM) Embedded TPM 1.2 firmware I²C communication interface (Slave mode) Architecture based on ST19N

More information

ED1021 I/O Expander with UART interface & analog inputs

ED1021 I/O Expander with UART interface & analog inputs Preliminary Highlights 2.7V 5V power supply range. 12 GPIOs. Up to 40mA maximum current in each output except GPIO8 (up to a total device current of 175mA). Most GPIOs can be an input to a 10bit ADC. Simple

More information

Gen-Z Scalable Connector A high-volume universal connector

Gen-Z Scalable Connector A high-volume universal connector Gen-Z Scalable Connector A high-volume universal connector Introduction Gen-Z Consortium members set out to develop a high-volume universal connector capable of spanning multiple market segments. In keeping

More information

UD info Corp. Industrial M PCIe SSD M2P-10UD Series Product DataSheet

UD info Corp. Industrial M PCIe SSD M2P-10UD Series Product DataSheet UD info Corp. Industrial M.2 22110 PCIe SSD M2P-10UD Series Product DataSheet UD info CORP. TEL: +886-2-7713-6050 FAX: +886-2-8511-3151 E-mail: sales@udinfo.com.tw 1. Introduction... 5 1.1. General Description...

More information

APPLICATION NOTE. Atmel AT01080: XMEGA E Schematic Checklist. Atmel AVR XMEGA E. Features. Introduction

APPLICATION NOTE. Atmel AT01080: XMEGA E Schematic Checklist. Atmel AVR XMEGA E. Features. Introduction APPLICATION NOTE Atmel AT01080: XMEGA E Schematic Checklist Atmel AVR XMEGA E Features Power supplies Reset circuit Clocks and crystal oscillators PDI TWI Introduction This application note describes a

More information

9100 U.2 and HHHL NVMe PCIe SSDs

9100 U.2 and HHHL NVMe PCIe SSDs MTFDHAL800MCE, MTFDHAL1T6MCE, MTFDHAL3T2MCE, MTFDHAL1T2MCF, MTFDHAL2T4MCF, MTFDHAX800MCE, MTFDHAX1T6MCE, MTFDHAX3T2MCE, MTFDHAX1T2MCF, MTFDHAX2T4MCF 9100 U.2 and HHHL NVMe PCIe SSDs Features Features Micron

More information

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a July 29, 2002July 22, 2003 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections.

More information

User Guide SU60-SIPT Development Kit (DVK-SU60-SIPT) Version 1.0

User Guide SU60-SIPT Development Kit (DVK-SU60-SIPT) Version 1.0 A SU60-SIPT Development Kit (DVK-SU60-SIPT) Version 1.0 REVISION HISTORY Version Date Notes Approver 1.0 29 July 2017 Initial Release Jay White 2 CONTENTS 1 Overview...4 Introduction...4 Package Contents...4

More information

9100 U.2 and HHHL NVMe PCIe SSDs

9100 U.2 and HHHL NVMe PCIe SSDs MTFDHAL800MCE, MTFDHAL1T6MCE, MTFDHAL3T2MCE, MTFDHAL1T2MCF, MTFDHAL2T4MCF, MTFDHAX800MCE, MTFDHAX1T6MCE, MTFDHAX3T2MCE, MTFDHAX1T2MCF, MTFDHAX2T4MCF 9100 U.2 and HHHL NVMe PCIe SSDs Features Features Micron

More information

ZLE User Guide

ZLE User Guide Part Number: ZLE60400 Revision Number: 1.0 Issue Date: November 2006 Table of Contents 1.0 Description............................................................................ 5 1.1 Device Placement....................................................................

More information

LOW PIN COUNT (LPC) INTERFACE SPECIFICATION

LOW PIN COUNT (LPC) INTERFACE SPECIFICATION LOW PIN COUNT (LPC) INTERFACE SPECIFICATION Revision 1.0 September 29, 1997 Intel may have patents and/or patent applications related to the various Low Pin Count interfaces described in the Low Pin Count

More information

Dolphin PCI Express MXH832 Adapter

Dolphin PCI Express MXH832 Adapter Dolphin PCI Express MXH832 Adapter MXH832 Transparent Adapter Users Guide Version 1.0.2 Date: 17 th April 2018 MXH832 User s Guide Dolphin Interconnect Solutions Page 1 MXH832 User s Guide Dolphin Interconnect

More information

Intel Ethernet Controller I350 Frequently Asked Questions (FAQs)

Intel Ethernet Controller I350 Frequently Asked Questions (FAQs) Intel Ethernet Controller I350 Frequently Asked Questions (FAQs) Networking Division (ND) June 2014 Revision 2.2 Legal By using this document, in addition to any agreements you have with Intel, you accept

More information

PCIE PCIE GEN2 EXPANSION SYSTEM USER S MANUAL

PCIE PCIE GEN2 EXPANSION SYSTEM USER S MANUAL PCIE2-2709 PCIE GEN2 EXPANSION SYSTEM USER S MANUAL The information in this document has been carefully checked and is believed to be entirely reliable. However, no responsibility is assumed for inaccuracies.

More information

DATASHEET. MK-070C-HP High Performance 7 Inch Capacitive Touch Display. Amulet. Technologies. July 2015 Revision A

DATASHEET. MK-070C-HP High Performance 7 Inch Capacitive Touch Display. Amulet. Technologies. July 2015 Revision A High Performance 7 Inch Capacitive Touch Display DATASHEET July 2015 Revision A Introduction The MK-070C is the newest family member in the Display Module product line. The new 7 Capacitive Display Module

More information

System Testability Using Standard Logic

System Testability Using Standard Logic System Testability Using Standard Logic SCTA037A October 1996 Reprinted with permission of IEEE 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

UM0401 User manual. User manual for eight bit port expander STMPE801 demonstration board. Introduction

UM0401 User manual. User manual for eight bit port expander STMPE801 demonstration board. Introduction User manual User manual for eight bit port expander STMPE801 demonstration board Introduction This document explains the functioning of the demo board for the port expander Chip STMPE801 with a PC GUI

More information