SCope: Efficient HdS simulation for MpSoC with NoC

Size: px
Start display at page:

Download "SCope: Efficient HdS simulation for MpSoC with NoC"

Transcription

1 SCope: Efficient HdS simulation for MpSoC with NoC Eugenio Villar Héctor Posadas University of Cantabria Marcos Martínez DS2

2 Motivation The microprocessor will be the NAND gate of the integrated systems in 2010 Alan Naumann, President and CEO, CoWare DATE 07 Infrastructure Network on Chip Memory SW code Processor Processor 1,1 1,2 1,n BUS º 2,1 2,2 2,n Applicationspecific HW Network Interface Other Peripherals n,1 n,2 n,n

3 Motivation Simulation will remain a fundamental design tool Functional validation Performance estimation Design-space exploration Design verification

4 Motivation Current ISS(+TLM) is too slow for Functional verification Performance estimation Design-space exploration Only valid for final design verification ISS+RTL(logic)

5 Motivation SW execution is only valid for Initial functional validation Temporal behavior missed SW code High-level HW model SW code 1,1 1,2 1,n SW code º 2,1 2,2 2,n SW code n,1 n,2 n,n SW code

6 Contents SCope description Goals Features Platform model Power estimation Application example Conclusions

7 SCope: Goal HW/SW simulation platform for MpSoC with NoC Performance estimation Power estimation Fast As accurate as possible

8 SCope: Features SW source-code simulation Two orders of magnitude faster than ISS Abstract RTOS model Abstraction of the microprocessor Timed simulation Performance estimation Power consumption estimation

9 SCope: Features (cont.) HW TLM(RTL) models HW/SW communication Interruptions Drivers TLM2 Bus model DMA NoC Interface

10 SCope: Platform model Application Code Task 1... Task n POSIX API Packages SW Memory Proc. 1 Drivers Proc. 2 Proc. n Memory Applicationspecific HW Bus 1 Net Per. Net Per. Bus n Peripheral HW

11 SCope: RTOS modeling SW Platform Applic. Code T1 T2... Tn Communication Interruptions OS API (POSIX) Spec. I/O º Synchronization Module Loading OS Core Low-level HAL External Packs (TCP/IP) Drivers Concurrency OS API Memory management Scheduling Low-level HAL File system Devices BUS Access

12 PERFidiX: HAL Modeling HW/SW communication Drivers Linux functions for drivers development File system control and device management Bus Access Reading and writing memory and peripherals Interruptions IRQ from HW platform Interrupt managers and masks

13 PERFidiX: SW Packages POSIX-based RTOS allow inclusion of standard packages Stack TCP/IP: lwip Reduced memory requirements Several protocols implemented IP, ICMP, UDP, TCP, DHCP, ARP,... Uses a Ethernet driver model Connected using the network simulator Work in progress

14 PERFidiX: Execution time and Energy estimation Dynamic Time & Power Estimation Operation Time Total Energy Total OPERATOR Time Energy a = b + d ; 2+7 us 9 us 2+5 uj 7 uj = 2 us 2 uj c = a*b ; 2+68 us 79 us 2+40 uj 49 uj + 7 us 5 uj d = a; if ( c < 0 ) a = c + 1 ; 2 us us 2+7 us 81 us 119 us 128 us 2 uj uj uj 51 uj 81 uj 88 uj * < 68 us 20 us 40 uj 20 uj Total seg. 128 us 687 mw IF 18 us 10 uj

15 PERFidiX: Power estimation Standard RISC processors exhibit stable power consumption per instruction Dynamic simulation allows estimating energy consumption number of assembler instructions executed energy cost per instruction Power estimation energy/execution times Adaptive dynamic voltage-frequency scaling

16 SCope: BUS modeling Data Transfers payload (faster than word by word) Interruptions TLM2 Models Several masters Several slaves Bus chaining SW Applic SW Applic Master (Proc. IF) Master (Proc. IF) BUS TLM2 Memory Map Bandwidth - Priorities Interrupt Generic BUS IF. Generic BUS IF. HW Slave HW Slave Stop / Abort DMA

17 SCope: Generic peripheral interface Bus protocol management Implements transport & send interrupt Manages Stop and Abort operations Waits corresponding time bandwidth and delay Integrated using inheritance Allows modifying protocol management in a specific peripheral Protocol functionality integrated in the peripheral Declare bus ports automatically (SC_EXPORT)

18 SCope: Specific Peripheral models Network Interface Connects the bus and the NoC model Acts as slave Informs the processor through interrupts DMA Master / Slave Moves payloads between other peripherals Memory Allows modeling bus loads of data transfers processor-memory and DMA-memory

19 SCope: Network Modeling Network on Chip simulator: Sicosys University of Cantabria (UC-ATC) Based on models of the NoC components Several NoC configurations Integrated as a SystemC Thread Computes when packages are in the NoC Otherwise Stopped

20 Example: Vocoder GSM Two nodes connected through a NoC Coder Decoder 1 2 Memory Proc. Proc. Memory BUS BUS I/O NoC IF. NoC IF. I/O NoC

21 Example: Platform model Coder Decoder NoC Driver Memory Memory Bus If. Proc. If. Proc. If. Bus If. 1 Data Data Irq Irq Data 2 Data BUS BUS Data Irq Data Irq Irq Data Irq Data Bus If. Bus If. Bus If. Bus If. I/O NoC Card NoC Card I/O

22 Example: Performance Analysis SW execution times Thread statistics Power consumption Bus statistics Contentions Conflicts Network Delays Latencies

23 Example: Performance Analysis

24 Conclusions SystemC powerful framework for complex SoC with NoC modeling SCope Multiprocessing SW simulation SW power consumption Platform modeling Bus, DMA, AS-HW, Memory, NoC IF, NoC simulation Sicosis

Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration

Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration Hector Posadas, Sara Real, and Eugenio Villar Abstract Design Space Exploration for complex,

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Workshop 1: Specification for SystemC-AADL interoperability

Workshop 1: Specification for SystemC-AADL interoperability Workshop 1: System Design in Avionics & Space Specification for -AADL interoperability Eugenio Villar Eduardo de las Heras Microelectronic Engineering Group University of Cantabria Outline Motivations

More information

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language SystemC as an Heterogeneous System Specification Language Eugenio Villar Fernando Herrera University of Cantabria Challenges Massive concurrency Complexity PCB MPSoC with NoC Nanoelectronics Challenges

More information

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano Modeling and Simulation of System-on on-chip Platorms Donatella Sciuto 10/01/2007 Politecnico di Milano Dipartimento di Elettronica e Informazione Piazza Leonardo da Vinci 32, 20131, Milano Key SoC Market

More information

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning 1 The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning Tim Kogel European SystemC User Group Meeting, 12.10.2004 Outline 2 Transaction Level Modeling

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

Modeling and SW Synthesis for

Modeling and SW Synthesis for Modeling and SW Synthesis for Heterogeneous Embedded Systems in UML/MARTE Hector Posadas, Pablo Peñil, Alejandro Nicolás, Eugenio Villar University of Cantabria Spain Motivation Design productivity it

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models

Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models Aravinda Thimmapuram Somarka Chakravarti Tamal Saha Rathina Thalaiappan Accellera Systems Initiative 1 Agenda Introduction Problem

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation

Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation Aimen Bouchhima, Patrice Gerin and Frédéric Pétrot System-Level Synthesis Group TIMA Laboratory 46, Av Félix

More information

ReconOS: An RTOS Supporting Hardware and Software Threads

ReconOS: An RTOS Supporting Hardware and Software Threads ReconOS: An RTOS Supporting Hardware and Software Threads Enno Lübbers and Marco Platzner Computer Engineering Group University of Paderborn marco.platzner@computer.org Overview the ReconOS project programming

More information

Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005

Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005 Introduction to the SystemC TLM Standard Stuart Swan Cadence Design Systems, Inc June 2005 1 Copyright 2005 CADENCE DESIGN SYSTEMS, INC. SystemC Transaction Level Modeling What is TLM? Communication uses

More information

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design Ahmed Amine JERRAYA EPFL November 2005 TIMA Laboratory 46 Avenue Felix Viallet 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr

More information

INT G bit TCP Offload Engine SOC

INT G bit TCP Offload Engine SOC INT 10011 10 G bit TCP Offload Engine SOC Product brief, features and benefits summary: Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx/Altera FPGAs or Structured ASIC flow.

More information

Hardware-Software Codesign

Hardware-Software Codesign Hardware-Software Codesign 8. Performance Estimation Lothar Thiele 8-1 System Design specification system synthesis estimation -compilation intellectual prop. code instruction set HW-synthesis intellectual

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Modeling Software with SystemC 3.0

Modeling Software with SystemC 3.0 Modeling Software with SystemC 3.0 Thorsten Grötker Synopsys, Inc. 6 th European SystemC Users Group Meeting Stresa, Italy, October 22, 2002 Agenda Roadmap Why Software Modeling? Today: What works and

More information

Hardware Support for Priority Inheritance

Hardware Support for Priority Inheritance Hardware Support for Priority Inheritance Bilge. S. Akgul +, Vincent J. Mooney +, Henrik Thane* and Pramote Kuacharoen + + Center for Research on mbedded Systems and Technology (CRST) + School of lectrical

More information

Towards a SystemC Transaction Level Modeling Standard. Stuart Swan Senior Architect Cadence Design Systems, Inc. June 2004

Towards a SystemC Transaction Level Modeling Standard. Stuart Swan Senior Architect Cadence Design Systems, Inc. June 2004 Towards a SystemC Transaction Level Modeling Standard Stuart Swan Senior Architect Cadence Design Systems, Inc. June 2004 SystemC Transaction Level Modeling What is TLM? Communication uses function calls

More information

SPACE: SystemC Partitioning of Architectures for Co-design of real-time Embedded systems

SPACE: SystemC Partitioning of Architectures for Co-design of real-time Embedded systems September 29, 2004 SPACE: Partitioning of Architectures for Co-design of real-time Embedded systems Jérome Chevalier 1, Maxime De Nanclas 1, Guy Bois 1 and Mostapha Aboulhamid 2 1. École Polytechnique

More information

Cosimulation of ITRON-Based Embedded Software with SystemC

Cosimulation of ITRON-Based Embedded Software with SystemC Cosimulation of ITRON-Based Embedded Software with SystemC Shin-ichiro Chikada, Shinya Honda, Hiroyuki Tomiyama, Hiroaki Takada Graduate School of Information Science, Nagoya University Information Technology

More information

Generating TLM Bus Models from Formal Protocol Specification. Tom Michiels CoWare

Generating TLM Bus Models from Formal Protocol Specification. Tom Michiels CoWare Generating TLM Bus Models from Formal Protocol Specification Tom Michiels CoWare Agenda Cycle accurate TLM Requirements Difficulties in creating TLM bus models Generating from formal specification Example

More information

Flexible and Executable Hardware/Software Interface Modeling For Multiprocessor SoC Design Using SystemC

Flexible and Executable Hardware/Software Interface Modeling For Multiprocessor SoC Design Using SystemC Flexible and Executable / Interface Modeling For Multiprocessor SoC Design Using SystemC Patrice Gerin Hao Shen Alexandre Chureau Aimen Bouchhima Ahmed Amine Jerraya System-Level Synthesis Group TIMA Laboratory

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

Automatic HW/SW interface modeling for scratch-pad & memory mapped HW components in native sourcecode co-simulation

Automatic HW/SW interface modeling for scratch-pad & memory mapped HW components in native sourcecode co-simulation Automatic HW/SW interface modeling for scratch-pad & memory mapped HW components in native sourcecode co-simulation Héctor Posadas 1 and Eugenio Villar 1 1 University of Cantabria ETSIIT, Av. Los Castros

More information

INT 1011 TCP Offload Engine (Full Offload)

INT 1011 TCP Offload Engine (Full Offload) INT 1011 TCP Offload Engine (Full Offload) Product brief, features and benefits summary Provides lowest Latency and highest bandwidth. Highly customizable hardware IP block. Easily portable to ASIC flow,

More information

UNIT I [INTRODUCTION TO EMBEDDED COMPUTING AND ARM PROCESSORS] PART A

UNIT I [INTRODUCTION TO EMBEDDED COMPUTING AND ARM PROCESSORS] PART A UNIT I [INTRODUCTION TO EMBEDDED COMPUTING AND ARM PROCESSORS] PART A 1. Distinguish between General purpose processors and Embedded processors. 2. List the characteristics of Embedded Systems. 3. What

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Outline Embedded systems overview What is embedded system Characteristics Elements of embedded system Trends in embedded system Design cycle 2 Computing Systems Most of

More information

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved

Hardware Design. MicroBlaze 7.1. This material exempt per Department of Commerce license exception TSU Xilinx, Inc. All Rights Reserved Hardware Design MicroBlaze 7.1 This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: List the MicroBlaze 7.1 Features List

More information

Chapter 2 Computer-System Structure

Chapter 2 Computer-System Structure Contents 1. Introduction 2. Computer-System Structures 3. Operating-System Structures 4. Processes 5. Threads 6. CPU Scheduling 7. Process Synchronization 8. Deadlocks 9. Memory Management 10. Virtual

More information

QEMU and SystemC. Màrius Màrius Montón

QEMU and SystemC. Màrius Màrius Montón QEMU and SystemC March March 2011 2011 QUF'11 QUF'11 Grenoble Grenoble Màrius Màrius Montón Outline Introduction Objectives Virtual Platforms and SystemC Checkpointing for SystemC Conclusions 2 Introduction

More information

Automatic HW/SW Interface Modeling for Scratch-Pad and Memory Mapped HW Components in Native Source- Code Co-simulation

Automatic HW/SW Interface Modeling for Scratch-Pad and Memory Mapped HW Components in Native Source- Code Co-simulation Automatic HW/SW Interface Modeling for Scratch-Pad and Memory Mapped HW Components in Native Source- Code Co-simulation Héctor Posadas and Eugenio Villar University of Cantabria ETSIIT, Av. Los Castros

More information

CSIBridge: Computer Science for Digital Forensics and Cyber Security

CSIBridge: Computer Science for Digital Forensics and Cyber Security M.S. in Forensic Computing Program John Jay College of Criminal Justice The City University of New York CSIBridge: Computer Science for Digital Forensics and Cyber Security Foundations for Digital Forensics

More information

Computer and Hardware Architecture I. Benny Thörnberg Associate Professor in Electronics

Computer and Hardware Architecture I. Benny Thörnberg Associate Professor in Electronics Computer and Hardware Architecture I Benny Thörnberg Associate Professor in Electronics Hardware architecture Computer architecture The functionality of a modern computer is so complex that no human can

More information

Networks on Chip. Axel Jantsch. November 24, Royal Institute of Technology, Stockholm

Networks on Chip. Axel Jantsch. November 24, Royal Institute of Technology, Stockholm Networks on Chip Axel Jantsch Royal Institute of Technology, Stockholm November 24, 2004 Network on Chip Seminar, Linköping, November 25, 2004 Networks on Chip 1 Overview NoC as Future SoC Platforms What

More information

Blackfin Optimizations for Performance and Power Consumption

Blackfin Optimizations for Performance and Power Consumption The World Leader in High Performance Signal Processing Solutions Blackfin Optimizations for Performance and Power Consumption Presented by: Merril Weiner Senior DSP Engineer About This Module This module

More information

Embedded System Design and Modeling EE382N.23, Fall 2015

Embedded System Design and Modeling EE382N.23, Fall 2015 Embedded System Design and Modeling EE382N.23, Fall 2015 Lab #3 Exploration Part (a) due: November 11, 2015 (11:59pm) Part (b) due: November 18, 2015 (11:59pm) Part (c)+(d) due: November 25, 2015 (11:59pm)

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

A MDD Methodology for Specification of Embedded Systems and Automatic Generation of Fast Configurable and Executable Performance Models

A MDD Methodology for Specification of Embedded Systems and Automatic Generation of Fast Configurable and Executable Performance Models A MDD Methodology for Specification of Embedded Systems and Automatic Generation of Fast Configurable and Executable Performance Models Int. Conf. on HW/SW codesign and HW synthesis (CODES-ISSS 2012) Embedded

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

ECE 1160/2160 Embedded Systems Design. Midterm Review. Wei Gao. ECE 1160/2160 Embedded Systems Design

ECE 1160/2160 Embedded Systems Design. Midterm Review. Wei Gao. ECE 1160/2160 Embedded Systems Design ECE 1160/2160 Embedded Systems Design Midterm Review Wei Gao ECE 1160/2160 Embedded Systems Design 1 Midterm Exam When: next Monday (10/16) 4:30-5:45pm Where: Benedum G26 15% of your final grade What about:

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on on-chip Donghyun Kim, Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo Semiconductor System Laboratory, Dept. of EECS, Korea Advanced

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 10 Task Partitioning Sources: Prof. Margarida Jacome, UT Austin Prof. Lothar Thiele, ETH Zürich Andreas Gerstlauer Electrical and Computer Engineering University

More information

IBM PowerPC Enablement Kit: ChipBench-SLD: System Level Analysis and Design Tool Suite. Power.org, September 2005

IBM PowerPC Enablement Kit: ChipBench-SLD: System Level Analysis and Design Tool Suite. Power.org, September 2005 Power.org, September 2005 IBM PowerPC Enablement Kit: ChipBench-SLD: System Level and Design Tool Suite PowerPC SystemC Models SLD Tools PowerPC, CoreConnect IP Dr. Nagu Dhanwada, Chief System Level Design

More information

Operating Systems. Computer Science & Information Technology (CS) Rank under AIR 100

Operating Systems. Computer Science & Information Technology (CS) Rank under AIR 100 GATE- 2016-17 Postal Correspondence 1 Operating Systems Computer Science & Information Technology (CS) 20 Rank under AIR 100 Postal Correspondence Examination Oriented Theory, Practice Set Key concepts,

More information

Integrated Development Environment

Integrated Development Environment Integrated Development Environment WWW.ANDESTECH.COM 1 IDE Page 2 2 Toolchains IDE AndESLive Simulator AICE AndESLive Builder AndeShape AndeSight AndESLive Page 3 3 AndeSight IDE Window View Perspective

More information

GLOSSARY. VisualDSP++ Kernel (VDK) User s Guide B-1

GLOSSARY. VisualDSP++ Kernel (VDK) User s Guide B-1 B GLOSSARY Application Programming Interface (API) A library of C/C++ functions and assembly macros that define VDK services. These services are essential for kernel-based application programs. The services

More information

Performance Verification for ESL Design Methodology from AADL Models

Performance Verification for ESL Design Methodology from AADL Models Performance Verification for ESL Design Methodology from AADL Models Hugues Jérome Institut Supérieur de l'aéronautique et de l'espace (ISAE-SUPAERO) Université de Toulouse 31055 TOULOUSE Cedex 4 Jerome.huges@isae.fr

More information

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT

Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT Page 1 SPACEWIRE SEMINAR 4/5 NOVEMBER 2003 JF COLDEFY / C HONVAULT INTRODUCTION The SW IP was developped in the frame of the ESA 13345/#3 contract "Building block for System on a Chip" This presentation

More information

Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0

Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0 Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0 Alain CLOUARD STMicroelectronics Central R&D (Grenoble, France) STMicroelectronics TLM is useful SoC HW/SW design flow Standard

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Embedded System Design and Modeling EE382V, Fall 2008

Embedded System Design and Modeling EE382V, Fall 2008 Embedded System Design and Modeling EE382V, Fall 2008 Lecture Notes 4 System Design Flow and Design Methodology Dates: Sep 16&18, 2008 Scribe: Mahesh Prabhu SpecC: Import Directive: This is different from

More information

Advanced Computer Networks. End Host Optimization

Advanced Computer Networks. End Host Optimization Oriana Riva, Department of Computer Science ETH Zürich 263 3501 00 End Host Optimization Patrick Stuedi Spring Semester 2017 1 Today End-host optimizations: NUMA-aware networking Kernel-bypass Remote Direct

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Simulink -based Programming Environment for Heterogeneous MPSoC

Simulink -based Programming Environment for Heterogeneous MPSoC Simulink -based Programming Environment for Heterogeneous MPSoC Katalin Popovici katalin.popovici@mathworks.com Software Engineer, The MathWorks DATE 2009, Nice, France 2009 The MathWorks, Inc. Summary

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information

SystemC abstractions and design refinement for HW- SW SoC design. Dündar Dumlugöl. Vice President of Engineering, CoWare, Inc.

SystemC abstractions and design refinement for HW- SW SoC design. Dündar Dumlugöl. Vice President of Engineering, CoWare, Inc. SystemC abstractions and design refinement for HW- SW SoC design Dündar Dumlugöl Vice President of Engineering, CoWare, Inc. Overview SystemC abstraction levels & design flow Interface Synthesis Analyzing

More information

SPI to Ethernet Module

SPI to Ethernet Module SPI to Ethernet Module (USR-ES1) File version: Ver 1.0 Jinan USR IOT Technology Limited 1 / 11 Content SPI to Ethernet Module... 1 1. Quick Start...3 2. Introduction... 5 2.1 Function Characteristics...5

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

Tim Kogel. June 13, 2010

Tim Kogel. June 13, 2010 Generating Workload Models from TLM-2 2.0-based Virtual Prototypes for Efficient Architecture Performance Analysis Tim Kogel NASCUG 13 June 13, 2010 1 Outline Motivation and TLM-2.0 Virtual Prototyping

More information

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17,

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17, Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms SAMOS XIV July 14-17, 2014 1 Outline Introduction + Motivation Design requirements for many-accelerator SoCs Design problems

More information

Multi-protocol controller for Industry 4.0

Multi-protocol controller for Industry 4.0 Multi-protocol controller for Industry 4.0 Andreas Schwope, Renesas Electronics Europe With the R-IN Engine architecture described in this article, a device can process both network communications and

More information

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network By Alberto Puggelli Outline SLD challenges Platform Based Design (PBD) Case study: Wireless Sensor Network Leveraging state of the art CAD Metropolis Case study: JPEG Encoder SLD Challenge Establish a

More information

AADL Simulation and Performance Analysis in SystemC

AADL Simulation and Performance Analysis in SystemC Fourth IEEE International workshop UML and AADL 2nd June 2009 Potsdam, Germany Roberto Varona Gómez Eugenio Villar {roberto, evillar}@teisa.unican.es University of Cantabria, Santander, Spain. This work

More information

RTOS-Centric Hardware/Software Cosimulator for Embedded System Design

RTOS-Centric Hardware/Software Cosimulator for Embedded System Design RTOS-Centric Hardware/Software Cosimulator for Embedded System Design Shinya Honda Takayuki Wakabayashi Hiroyuki Tomiyama Hiroaki Takada Department of Information and Computer Science Toyohashi University

More information

Virtual PLATFORMS for complex IP within system context

Virtual PLATFORMS for complex IP within system context Virtual PLATFORMS for complex IP within system context VP Modeling Engineer/Pre-Silicon Platform Acceleration Group (PPA) November, 12th, 2015 Rocco Jonack Legal Notice This presentation is for informational

More information

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20 University of Pannonia Dept. Of Electrical Engineering and Information Systems Hardware Design MicroBlaze v.8.10 / v.8.20 Instructor: Zsolt Vörösházi, PhD. This material exempt per Department of Commerce

More information

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder OSCI Update Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder Chief Strategy Officer charter Ensure that OSCI strategy is created, coordinated, communicated & executed Identify OSCI technical

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

Using a Real-time, QoS-based ORB to Intelligently Manage Communications Bandwidth in a Multi-Protocol Environment

Using a Real-time, QoS-based ORB to Intelligently Manage Communications Bandwidth in a Multi-Protocol Environment Using a Real-time, QoS-based ORB to Intelligently Manage Communications Bandwidth in a Multi-Protocol Environment Bill Beckwith Objective Interface Systems, Inc. +1 703 295 6500 bill.beckwith@ois.com http://www.ois.com

More information

Light & NOS. Dan Li Tsinghua University

Light & NOS. Dan Li Tsinghua University Light & NOS Dan Li Tsinghua University Performance gain The Power of DPDK As claimed: 80 CPU cycles per packet Significant gain compared with Kernel! What we care more How to leverage the performance gain

More information

Simplifying the Development and Debug of 8572-Based SMP Embedded Systems. Wind River Workbench Development Tools

Simplifying the Development and Debug of 8572-Based SMP Embedded Systems. Wind River Workbench Development Tools Simplifying the Development and Debug of 8572-Based SMP Embedded Systems Wind River Workbench Development Tools Agenda Introducing multicore systems Debugging challenges of multicore systems Development

More information

Introduction to MLM. SoC FPGA. Embedded HW/SW Systems

Introduction to MLM. SoC FPGA. Embedded HW/SW Systems Introduction to MLM Embedded HW/SW Systems SoC FPGA European SystemC User s Group Meeting Barcelona September 18, 2007 rocco.le_moigne@cofluentdesign.com Agenda Methodology overview Modeling & simulation

More information

Quantitative Analysis of Transaction Level Models for the AMBA Bus

Quantitative Analysis of Transaction Level Models for the AMBA Bus Quantitative Analysis of Transaction Level Models for the AMBA Bus Gunar Schirner and Rainer Dömer Center for Embedded Computer Systems University of California, Irvine Motivation Higher productivity is

More information

AADS+: AADL Simulation including the Behavioral Annex

AADS+: AADL Simulation including the Behavioral Annex AADS+: AADL Simulation including the Behavioral Annex Fifth IEEE International workshop UML and AADL 24th March 2010, Oxford, UK Roberto Varona Gómez Eugenio Villar {roberto, evillar}@teisa.unican.es University

More information

FPGAs: High Assurance through Model Based Design

FPGAs: High Assurance through Model Based Design FPGAs: High Assurance through Based Design AADL Workshop 24 January 2007 9:30 10:00 Yves LaCerte Rockwell Collins Advanced Technology Center 400 Collins Road N.E. Cedar Rapids, IA 52498 ylacerte@rockwellcollins.cm

More information

The Nostrum Network on Chip

The Nostrum Network on Chip The Nostrum Network on Chip 10 processors 10 processors Mikael Millberg, Erland Nilsson, Richard Thid, Johnny Öberg, Zhonghai Lu, Axel Jantsch Royal Institute of Technology, Stockholm November 24, 2004

More information

Software Development Using Full System Simulation with Freescale QorIQ Communications Processors

Software Development Using Full System Simulation with Freescale QorIQ Communications Processors Patrick Keliher, Simics Field Application Engineer Software Development Using Full System Simulation with Freescale QorIQ Communications Processors 1 2013 Wind River. All Rights Reserved. Agenda Introduction

More information

SOFTWARE AND DRIVER SYNTHESIS FROM TRANSACTION LEVEL MODELS

SOFTWARE AND DRIVER SYNTHESIS FROM TRANSACTION LEVEL MODELS SOFTWARE AND DRIVER SYNTHESIS FROM TRANSACTION LEVEL MODELS Haobo Yu, Rainer Dömer, Daniel D. Gajski Center of Embedded Computer Systems University of California, Irvine Abstract This work presents a method

More information

MULTICUBE: Multi-Objective Design Space Exploration of Multi-Core Architectures

MULTICUBE: Multi-Objective Design Space Exploration of Multi-Core Architectures !000111000 IIIEEEEEEEEE AAAnnnnnnuuuaaalll SSSyyymmmpppooosssiiiuuummm ooonnn VVVLLLSSSIII MULTICUBE: Multi-Objective Design Space Exploration of Multi-Core Architectures Cristina Silvano, William Fornaciari,

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

ECE 587 Hardware/Software Co-Design Lecture 12 Verification II, System Modeling

ECE 587 Hardware/Software Co-Design Lecture 12 Verification II, System Modeling ECE 587 Hardware/Software Co-Design Spring 2018 1/20 ECE 587 Hardware/Software Co-Design Lecture 12 Verification II, System Modeling Professor Jia Wang Department of Electrical and Computer Engineering

More information

OS and Java. The Networked Appliance Solution. Haruyasu Ito. Electronic Devices Group FUJITSU LIMITED

OS and Java. The Networked Appliance Solution. Haruyasu Ito. Electronic Devices Group FUJITSU LIMITED µitron OS and Java The Networked Appliance Solution Haruyasu Ito Electronic Devices Group FUJITSU LIMITED RTOS use in Embedded Systems in Japan OS not used Not ITRON specification OS API ITRON-Spec OS

More information

Long Term Trends for Embedded System Design

Long Term Trends for Embedded System Design Long Term Trends for Embedded System Design DSD 2004 A. A. Jerraya TIMA Laboratory 46 Avenue Felix Viallet 38031 Grenoble Cedex France Tel: +33 476 57 47 59 Fax: +33 476 47 38 14 Email: Ahmed.Jerraya@imag.fr

More information

The Next Generation of Virtual Prototyping: Ultra-fast Yet Accurate Simulation of HW/SW Systems

The Next Generation of Virtual Prototyping: Ultra-fast Yet Accurate Simulation of HW/SW Systems The Next Generation of Virtual Prototyping: Ultra-fast Yet Accurate Simulation of HW/SW Systems Oliver Bringmann 1, Wolfgang Ecker 2, Andreas Gerstlauer 3, Ajay Goyal 2, Daniel Mueller-Gritschneder 4,

More information

CoFluent Design FPGA. SoC FPGA. Embedded. Systems. HW/SW

CoFluent Design FPGA. SoC FPGA. Embedded. Systems.  HW/SW CoFluent Design www.cofluentdesign.com Embedded HW/SW Systems SW SoC FPGA FPGA Integration Systems & Verification of GreenSocs Models in a CoFluent Testbench jerome.lemaitre@cofluentdesign.com NASCUG IX,

More information

SoC Design for the New Millennium Daniel D. Gajski

SoC Design for the New Millennium Daniel D. Gajski SoC Design for the New Millennium Daniel D. Gajski Center for Embedded Computer Systems University of California, Irvine www.cecs.uci.edu/~gajski Outline System gap Design flow Model algebra System environment

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

LINUX INTERNALS & NETWORKING Weekend Workshop

LINUX INTERNALS & NETWORKING Weekend Workshop Here to take you beyond LINUX INTERNALS & NETWORKING Weekend Workshop Linux Internals & Networking Weekend workshop Objectives: To get you started with writing system programs in Linux Build deeper view

More information

Software Defined Modem A commercial platform for wireless handsets

Software Defined Modem A commercial platform for wireless handsets Software Defined Modem A commercial platform for wireless handsets Charles F Sturman VP Marketing June 22 nd ~ 24 th Brussels charles.stuman@cognovo.com www.cognovo.com Agenda SDM Separating hardware from

More information

Mapping applications into MPSoC

Mapping applications into MPSoC Mapping applications into MPSoC concurrency & communication Jos van Eijndhoven jos@vectorfabrics.com March 12, 2011 MPSoC mapping: exploiting concurrency 2 March 12, 2012 Computation on general purpose

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

SOFTWARE DRIVES HARDWARE, LESSONS LEARNED AND FUTURE DIRECTIONS

SOFTWARE DRIVES HARDWARE, LESSONS LEARNED AND FUTURE DIRECTIONS SOFTWARE DRIVES HARDWARE, LESSONS LEARNED AND FUTURE DIRECTIONS Rob Oshana Vice President, Software Engineering, R&D NXP Semiconductors Microcontroller and Microprocessors PUBLIC USE Key messages Software

More information

Agenda. Threads. Single and Multi-threaded Processes. What is Thread. CSCI 444/544 Operating Systems Fall 2008

Agenda. Threads. Single and Multi-threaded Processes. What is Thread. CSCI 444/544 Operating Systems Fall 2008 Agenda Threads CSCI 444/544 Operating Systems Fall 2008 Thread concept Thread vs process Thread implementation - user-level - kernel-level - hybrid Inter-process (inter-thread) communication What is Thread

More information

Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards

Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards Energy Estimation Based on Hierarchical Bus Models for Power-Aware Smart Cards U. Neffe, K. Rothbart, Ch. Steger, R. Weiss Graz University of Technology Inffeldgasse 16/1 8010 Graz, AUSTRIA {neffe, rothbart,

More information

OpenOnload. Dave Parry VP of Engineering Steve Pope CTO Dave Riddoch Chief Software Architect

OpenOnload. Dave Parry VP of Engineering Steve Pope CTO Dave Riddoch Chief Software Architect OpenOnload Dave Parry VP of Engineering Steve Pope CTO Dave Riddoch Chief Software Architect Copyright 2012 Solarflare Communications, Inc. All Rights Reserved. OpenOnload Acceleration Software Accelerated

More information