ECEN720: High-Speed Links Circuits and Systems Spring 2017

Size: px
Start display at page:

Download "ECEN720: High-Speed Links Circuits and Systems Spring 2017"

Transcription

1 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 1: Introduction Sam Palermo Analog & Mixed-Signal Center Texas A&M University

2 Class Topics System and design issues relevant to high-speed electrical (and optical) signaling Channel properties Modeling, measurements, communication techniques High-Speed link circuits Drivers, receivers, equalizers, timing systems Link system design Modeling and performance metrics Link system examples 2

3 Administrative Instructor: Sam Palermo 315E WEB, , Office hours: M 3:00pm-4:30pm, Tu 8:00am-9:30am Lectures: TR 11:10am-12:25pm, WEB 049 Lab: M 10:20pm-1:10pm, CVLB 322 Lab begins on January 30 Class web page 3

4 Class Material Textbook: Class Notes and Technical Papers Key References Digital Systems Engineering, W. Dally and J. Poulton, Cambridge University Press, Advanced Signal Integrity for High-Speed Digital Designs, S. H. Hall and H. L. Heck, John Wiley & Sons, High-Speed Digital Design: A Handbook of Black Magic, H. Johnson & M. Graham, Prentice Hall, Design of Integrated Circuits for Optical Communications, B. Razavi, McGraw-Hill, Class notes Will post online before class 4

5 Grading Exams (50%) Two midterm exams (25% each) Homework & Labs (25%) Labs (Prelab + Report) and homeworks weighted equally Collaboration is allowed, but independent simulations and write-ups Need to setup CADENCE simulation environment Due at beginning of lab or 5PM (HW) No late homework will be graded Final Project (25%) Groups of 1-3 students Report and PowerPoint presentation required 5

6 Prerequisites This is a circuits AND systems class Circuits ECEN474 or approval of instructor Basic knowledge of CMOS gates, flops, etc Circuit simulation experience (HSPICE, Spectre) Systems Basic knowledge of s- and z-transforms Basic digital communication knowledge MATLAB experience 6

7 Simulation Tools Matlab ADS (Statistical BER link analysis) Cadence 90nm CMOS device models Can use other technology models if they are a 90nm or more advanced CMOS node Other tools, schematic, layout, etc are optional 7

8 Desktop Computer I/O Architecture Many high-speed I/O interfaces Key bandwidth bottleneck points are memory (FSB) and graphics interfaces (PCIe) Near-term architectures Integrated memory controller with serial I/O (>5Gb/s) to memory Increasing PCIe from 2.5Gb/s (Gen1) to 8Gb/s (Gen3) Other serial I/O systems Multi-processor systems Routers 8

9 Serial Link Applications Processor-to-memory RDRAM (1.6Gbps), XDR DRAM (7.2Gbps), XDR2 DRAM (12.8Gbps) Processor-to-peripheral PCIe (2.5, 5, 8Gbps), Infiniband (10Gbps), USB3 (4.8Gbps) Processor-to-processor Intel QPI (6.4Gbps), AMD Hypertransport (6.4Gbps) Storage SATA (6Gbps), Fibre Channel (20Gbps) Networks LAN: Ethernet (1, 10Gbps) WAN: SONET (2.5, 10, 40Gbps) Backplane Routers: ( Gbps) 9

10 Chip-to-Chip Signaling Trends Lumped capacitance Decade Speeds Transceiver Features 1980 s >10Mb/s Inverter out, inverter in Transmission line 1990 s >100Mb/s Termination Source-synchronous clk. Lossy transmission line 2000 s >1 Gb/s Pt-to-pt serial streams Pre-emphasis equalization Transmit Filter Channel h(t) noise Future >10 Gb/s Adaptive Equalization, Slicer Advanced low power clk. Alternate channel materials Sampler RX Equalizer CDR Slide Courtesy of Frank O Mahony & Brian Casper, Intel 10

11 Increasing I/O Bandwidth Demand Single Multi Many-Core Processors Tera-scale many-core processors will aggressively drive aggregate I/O rates Intel Teraflop Research Chip ITRS Projections* 80 processor cores On-die mesh interconnect network w/ >2Tb/s aggregate bandwidth 100 million transistors 275mm 2 S. Vangal et al, An 80-Tile Sub-100W TeraFLOPS Processor in 65nm CMOS," JSSC, *2006 International Technology Roadmap for Semiconductors 11

12 High-Speed Electrical Link System 12

13 Electrical Backplane Channel Frequency dependent loss Dispersion & reflections Co-channel interference Far-end (FEXT) & near-end (NEXT) crosstalk 13

14 Channel Performance Impact 14

15 Channel Performance Impact 15

16 Backplane Link Example A 10Gb/s 5-tap DFE / 4-Tap FFE Transceiver in 90nm CMOS Technology Mounir Meghelli, Sergey Rylov, John Bulzacchelli, Woogeun Rhee, Alexander Rylyakov, Herschel Ainspan, Ben Parker, Michael Beakes, Aichin Chung, Troy Beukema, Petar Pepeljugoski, Lei Shan, Young Kwark, Sudhir Gowda and Dan Friedman IBM T. J. Watson Research Center, Yorktown Heights, NY 16

17 Transmission Channel Impairments INPUT Eye FFE1 10.0Gb/s [OPEN,1e-8] No Xtalk Packaged SerDes Eye FFE1 10.0Gb/s [OPEN,1e-8] No Xtalk 500mVDATA = RAND Tx 600mVpd AGC Gain -5.48dB XTALK = NONE AGC 5.0GHz 0.00dB PKG=0/0 TERM = 5050/5050 IC = 3/3 400mV 300mV Signal Amplitude Vpd 500mVDATA = RAND Tx 600mVpd AGC Gain -6.02dB XTALK = NONE AGC 5.0GHz 0.00dB PKG=0/0 TERM = 5050/5050 IC = 3/3 400mV 300mV 200mV 100mV -0.0mV -100mV -200mV -300mVHSSCDR = pre2 IBM Confidential Date = Sat 01/21/ :01 PM PLL=0F1V0S0,C16,N32,O1,L80 FREQ=0.00ppm/0.00us -400mVFFE = [1.000, 0.000] -500mV -100ps -50ps 0ps 50ps Time 100ps Backplane trace Line card trace Edge connector Via stub Signal Amplitude Vpd 200mV 100mV -0.0mV -100mV -200mV -300mVHSSCDR = pre2 IBM Confidential Date = Sat 01/21/ :00 PM PLL=0F1V0S0,C16,N32,O1,L80 FREQ=0.00ppm/0.00us -400mVFFE = [1.000, 0.000] -500mV -100ps -50ps 0ps 50ps Time OUTPUT 100ps SDD21 S Hz [OPEN,1e-8] Channel Response 5GHz 2.0GHz 4.0GHz 6.0GHz 8.0GHz Frequency S11, S GHz Pkg Line card trace Edge connector Backplane 16 trace Edge connector Line card trace Pkg Tx IC [Meghelli (IBM) ISSCC 2006] The Channel Rx IC 17

18 10Gb/s SerDes Main Features Tx with 1 baud-spaced 4-tap FFE Rx with 5-tap adaptive DFE and digital clock recovery LC-VCO based PLL for low noise clock generation 90nm CMOS technology [Meghelli (IBM) ISSCC 2006] 18

19 Key Features: - Half-rate CML design - 4-tap FFE - Tap polarity control - ESD protection - 70mW (24mA main tap, no FFE) V DD =1.0V Transmitter Architecture IDACs & Bias Control FFE Taps Full Scale DAC bits Pre-cursor 25% 4 Cursor 100% 6 1 st Post-cursor 50% 5 2 nd Post-cursor 25% 4 V DDA =1.2V ESD 1/4 1 1/2 1/4 1x 4x 2x 1x V DDA =1.2V 50Ω Out-P Out-N (10Gb/s) V DDIO =1.0V (2.5Gb/s) D 0 D 1 D 2 D (5Gb/s) 4:2 MUX L L sgn -1 sgn 0 sgn 1 sgn 2 L L L L L L L [Meghelli (IBM) ISSCC 2006] 2 C2 (5GHz) From on-chip PLL A Low Power 10Gb/s Serial Link Transmitter in 90-nm CMOS A. Rylyakov et al., CSICS

20 Tx Output Eye 10Gb/s e FFE1 10.0Gb/s [OPEN,1e-8] No Xtalk x 600mVpdAGC Gain -6.02dB AGC 5.0GHz 0.00dB = 5050/5050 IC = 3/0 No FFE, 24mA on main tap Measured IBM Confidential EQ OFS = 0.00ppm/0.00us 000] Simulated 0ps 0ps 50ps Time 100ps Eye FFE4 10.0Gb/s [OPEN,1e-8] No Xtalk 00mVpd AGC Gain -6.02dB AGC 5.0GHz 0.00dB 5050 IC = 3/0 FFE 4=[0, 85%, -15%, 0, 0] nfidential Q OFS = 0.00ppm/0.00us D/E OFST 1 37, 0.000] ps 0ps 50ps Time 100ps [Meghelli (IBM) ISSCC 2006] 20

21 Receiver Architecture From on-chip PLL (5GHz) In_P In_N (10Gb/s) Vcm 50Ω T-Coil Compensation Network ESD V DDA =1.2V VGA C2-I Phase rotator I PI C2-Q PI Q Phase detector DFE Block I-Clock control Q-Clock control Edge Data Amp V DD =1.0V 2:8 DMUX Tap weights CDR logic 8:16 DMUX DFE logic CML CMOS logic V DDIO =1V 8 Data Amp Edge Clock D 0 D 1 D 2 D 3 (2.5Gb/s) Key Features: - Half-rate design - 5-tap continuously adaptive DFE - Variable gain amplifier - Digital CDR - ESD protection (HBM & CDM) - 130mW (with DFE and CDR logic) [Meghelli (IBM) ISSCC 2006] 21

22 DFE Approach (+H1) I I I Σ I L L L Deven Data (-H1) (+H1) I H1-5 Tap-feedback and weighting Σ I L L L I I I (-H1) I Tap weights Dodd On-chip DFE Logic DFE Taps Resolution H1 6 bits H2 5 bits H3, H4, H5 4 bits Σ Amplitude I Offset Received eye ISI [Meghelli (IBM) ISSCC 2006] Key Features: - Half-rate DFE with H1 speculation and dynamic H2-H5 feedback allows 2UI for settling - DFE algorithm maximizes vertical eye opening at the data slicing instant - Offset adjustment at all the slicer inputs 22

23 CDR Loop Data Z -1 Z -1 Data Clock D DMUX E Edge Clock D E XORs Early Late Digital Filter I Rotator Control D/A Q Rotator Control D/A PI PI C2-I C2-Q From on-chip PLL Jitter Tolerance Receiver Jitter tolerance curve ( BER<1e-9) Key Features: - Fully digital loop - Can handle up to +/- 4000ppm frequency offset - Independent I,Q control Sine Jitter (UI pp) Tracking bandwidth ~9MHz E E E E E+09 Modulation Frequency [Meghelli (IBM) ISSCC 2006] 23

24 Chip-to-Chip Link Experiments Trace SerDes1 SerDes1 Module SerDes2 SerDes2 Module Board Trace Length 5GHz losses (Tx module + board trace + Rx module) 10 (#1) 12dB 2 / 0 / 0 10 (#2) 10dB 0 / 2 / dB 4 / 2 / dB 0 / 0 / 2 Number of vias 3.8mm via stub / 1.8mm via stub / 1.8mm via through Via stub Trace [Meghelli (IBM) ISSCC 2006] 24

25 Horizontal Eye Opening (1e-9 BER) 50.00% 40.00% 30.00% 20.00% 10.00% Chip-to-Chip Measurement Results Horizontal eye opening of the equalized eye at the receiver slicer input 60.00% DFE + FFE DFE + FFE DFE ONLY FFE ONLY DFE + FFE DFE + FFE Trace Length DFE ONLY 5GHz losses (Tx module + board trace + Rx module) 10 (#1) 12dB 2 / 0 / 0 10 (#2) 10dB 0 / 2 / dB 4 / 2 / dB 0 / 0 / 2 FFE ONLY DFE+FFE DFE FFE Number of vias 3.8mm via stub / 1.8mm via stub / 1.8mm via through 0.00% 10" (#1) 10" (#2) 15" 20" Link [Meghelli (IBM) ISSCC 2006] 25

26 Preliminary Schedule Dates may change with reasonable notice 26

27 Next Time Channels Components Chip packages, PCBs, Wires, Connectors Modeling Wires, Transmission Lines 27

Proposal for modeling advanced SERDES

Proposal for modeling advanced SERDES Proposal for modeling advanced SERDES IBM, Cadence June 2006 1 CADENCE DESIGN SYSTEMS, INC. Presenters, Contributors Presenters / Contributors 1. Joe Abler IBM Systems & Technology Group High Speed Serial

More information

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions

More information

Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver. D. Dunwell and A. Chan Carusone University of Toronto

Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver. D. Dunwell and A. Chan Carusone University of Toronto Gain and Equalization Adaptation to Optimize the Vertical Eye Opening in a Wireline Receiver D. Dunwell and A. Chan Carusone University of Toronto Analog Front End Adaptation Analog Front-End (AFE) Digital

More information

10GBASE-KR for 40G Backplane

10GBASE-KR for 40G Backplane 1GBASE-KR for 4G Backplane Nov 7 Technology Hiroshi Takatori Hiroshi.Takatori@.us 1 Outline This contribution discusses, - Performance based on 1GBASE-KR Std - Theoretical Limit (Saltz SNR) and Time Domain

More information

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation CDNLive Boston August 2013 Mark Marlett and Mahesh Tirupattur, Analog Bits Ken Willis and Kumar Keshavan, Cadence

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

PCI Express 4.0. Electrical compliance test overview

PCI Express 4.0. Electrical compliance test overview PCI Express 4.0 Electrical compliance test overview Agenda PCI Express 4.0 electrical compliance test overview Required test equipment Test procedures: Q&A Transmitter Electrical testing Transmitter Link

More information

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip?

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip? Instructor: Jan Rabaey EECS141 1 Introduction to digital integrated circuit design engineering Will describe models and key concepts needed to be a good digital IC designer Models allow us to reason about

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 16 Clock and Data Recovery 3 1 CDR Design Example ( 권대현 ) Clock and Data Recovery Circuits Transceiver PLL vs. CDR High-speed CDR Phase Detector Charge Pump Voltage Controlled

More information

What is this class all about?

What is this class all about? EE141-Fall 2007 Digital Integrated Circuits Instructor: Elad Alon TuTh 3:30-5pm 155 Donner 1 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe

More information

What is this class all about?

What is this class all about? -Fall 2004 Digital Integrated Circuits Instructor: Borivoje Nikolić TuTh 3:30-5 247 Cory EECS141 1 What is this class all about? Introduction to digital integrated circuits. CMOS devices and manufacturing

More information

400G PAM4 The Wave of the Future. Michael G. Furlong - Senior Director, Product Marketing

400G PAM4 The Wave of the Future. Michael G. Furlong - Senior Director, Product Marketing 400G The Wave of the Future Michael G. Furlong - Senior Director, Product Marketing mfurlong@inphi.com ECOC 2017 100G is Ramping in the Cloud 100G Now Shipping (~2H2016) Numerous Market Reports Millions

More information

AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation

AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation AMI Applications in High-speed Serial Channel Analysis and Measurement Correlation Jia Wei, Sunanbing, Zhu ShunLin Jia.wei@zte.com.cn, sun.anbing@zte.com.cn, Zhu.shunlin@zte.com.cn High-Speed System Lab,ZTE

More information

What is this class all about?

What is this class all about? EE141-Fall 2012 Digital Integrated Circuits Instructor: Elad Alon TuTh 11-12:30pm 247 Cory 1 What is this class all about? Introduction to digital integrated circuit design engineering Will describe models

More information

100GEL C2M Channel Analysis Update

100GEL C2M Channel Analysis Update 100GEL C2M Channel Analysis Update Jane Lim, Cisco Pirooz Tooyserkani, Cisco Upen Reddy Kareti, Cisco Joel Goergen, Cisco Marco Mazzini, Cisco 9/5/2018 IEEE P802.3ck 100Gb/s, 200Gb/s, and 400Gb/s Electrical

More information

Serial Link Analysis and PLL Model

Serial Link Analysis and PLL Model 25. July 2007 Serial Link Analysis and PLL Model September 11, 2007 Asian IBIS Summit, Beijing China Huang Chunxing huangchunxing@huawei.com www.huawei.com HUAWEI TECHNOLOGIES Co., Ltd. Agenda High-speed

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

Lecture 11: Speed & Communications

Lecture 11: Speed & Communications Lecture 11: Speed & Communications David Black-Schaffer davidbbs@stanford.edu EE183 Spring 2003 Pipelining for Speed Overview Do a little bit of work in each stage (fast) Use the pipe registers to break

More information

PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers

PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers - Transmitter Testing - Receiver Testing - Link Equalization Testing David Li Product Marketing Manager High Speed

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

5 GT/s and 8 GT/s PCIe Compared

5 GT/s and 8 GT/s PCIe Compared 5 GT/s and 8 GT/s PCIe Compared Bent Hessen-Schmidt SyntheSys Research, Inc. Copyright 2008, PCI-SIG, All Rights Reserved 1 Disclaimer The material included in this presentation reflects current thinking

More information

Defining a New High-Speed, Multi-Protocol SerDes Architecture for Advanced Nodes

Defining a New High-Speed, Multi-Protocol SerDes Architecture for Advanced Nodes Defining a New High-Speed, Multi-Protocol SerDes Architecture for Advanced Nodes By Eric Naviasky, Cadence Design Systems Designing a high-speed, high-performance serializer/deserializer (SerDes) for advanced

More information

Feasibility of 40/100G Heterogeneous System based on Channel Data

Feasibility of 40/100G Heterogeneous System based on Channel Data Feasibility of 40/100G Heterogeneous System based on Channel Data Jan 2008 Technology Hiroshi Takatori Hiroshi.Takatori@.us 1 Outline Generalized methodology for feasibility analysis of heterogeneous (electro-optical)

More information

EE141- Spring 2007 Introduction to Digital Integrated Circuits

EE141- Spring 2007 Introduction to Digital Integrated Circuits - Spring 2007 Introduction to Digital Integrated Circuits Tu-Th 5pm-6:30pm 150 GSPP 1 What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

Modeling MultiGigabit FPGA Channels with Agilent ADS 2008

Modeling MultiGigabit FPGA Channels with Agilent ADS 2008 Modeling MultiGigabit FPGA Channels with Agilent ADS 2008 Andy Turudic Sr. Manager, High-End FPGAs Altera aturudic@altera.com Amolak Badesha Field Applications Engineer - Agilent 2008 Altera Corporation

More information

Maximising Serial Bandwidth And Signal Integrity In FPGAs

Maximising Serial Bandwidth And Signal Integrity In FPGAs Maximising Serial Bandwidth And Signal Integrity In FPGAs Francesco Contu High Speed IO specialist, EMEA South francescocontu@xilinxcom Agilent High Speed Digital Design & Validation Seminars 2012 Agenda

More information

Extending HyperTransport Technology to 8.0 Gb/s in 32-nm SOI-CMOS Processors

Extending HyperTransport Technology to 8.0 Gb/s in 32-nm SOI-CMOS Processors Extending HyperTransport Technology to 8.0 Gb/s in 32-nm SOI-CMOS Processors Bruce Doyle, Alvin Loke, Sanjeev Maheshwari, Charles Wang, Dennis Fischette, Jeffrey Cooper, Sanjeev Aggarwal, Tin Tin Wee,

More information

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Todd Westerhoff (SiSoft) Mike Steinberger (SiSoft) Walter Katz (SiSoft) Barry Katz (SiSoft) Adge Hawes (IBM) Kent

More information

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA

Using Chiplets to Lower Package Loss. IEEE Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA 1 Using Chiplets to Lower Package Loss IEEE 802.3 100 Gb/s Electrical Lane Study Group February 26, 2018 Brian Holden, VP of Standards Kandou Bus SA Chiplet Technology Big, 70mm packages are routine A

More information

ADS USB 3.1 Compliance Test Bench

ADS USB 3.1 Compliance Test Bench ADS 2016.01 USB 3.1 Compliance Test Bench Notices Keysight Technologies, Inc. 1983-2016 1400 Fountaingrove Pkwy., Santa Rosa, CA 95403-1738, United States All rights reserved. No part of this documentation

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about?

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about? - Spring 2004 Introduction to Digital Integrated Circuits Tu-Th am-2:30pm 203 McLaughlin What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches

A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches A 2 Gb/s Asymmetric Serial Link for High-Bandwidth Packet Switches Ken K. -Y. Chang, William Ellersick, Shang-Tse Chuang, Stefanos Sidiropoulos, Mark Horowitz, Nick McKeown: Computer System Laboratory,

More information

Columbia Univerity Department of Electrical Engineering Fall, 2004

Columbia Univerity Department of Electrical Engineering Fall, 2004 Columbia Univerity Department of Electrical Engineering Fall, 2004 Course: EE E4321. VLSI Circuits. Instructor: Ken Shepard E-mail: shepard@ee.columbia.edu Office: 1019 CEPSR Office hours: MW 4:00-5:00

More information

High-Speed Jitter Testing of XFP Transceivers

High-Speed Jitter Testing of XFP Transceivers White Paper High-Speed Jitter Testing of XFP Transceivers By Andreas Alpert Abstract Jitter is a key performance factor in high-speed digital transmission systems, such as synchronous optical networks/synchronous

More information

PCI Express Link Equalization Testing 서동현

PCI Express Link Equalization Testing 서동현 PCI Express Link Equalization 서동현 Application Engineer January 19th, 2016 Agenda Introduction Page 2 Dynamic Link Equalization TX/RX Link Equalization Tests Test Automation RX Stress Signal Calibration

More information

IBIS-AMI Model Simulations Over Six EDA Platforms

IBIS-AMI Model Simulations Over Six EDA Platforms IBIS-AMI Model Simulations Over Six EDA Platforms Romi Mayder, romi.mayder@xilinx.com Ivan Madrigal, ivan.madrigal@xilinx.com Brandon Jiao, brandon.jiao@xilinx.com Hongtao Zhang, hongtao.zhang@xilinx.com

More information

Achieving PCI Express Compliance Faster

Achieving PCI Express Compliance Faster Achieving PCI Express Compliance Faster Agenda PCIe Overview including what s new with Gen4 PCIe Transmitter Testing PCIe Receiver Testing Intro to Tektronix s PCIe Tx and Rx Test Solution PCIe Market

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits EE141 Fall 2005 Tu & Th 11-12:30 203 McLaughlin What is This Class About? Introduction to Digital Integrated Circuits Introduction: Issues in digital design CMOS devices and

More information

100G Signaling Options over Backplane Classes

100G Signaling Options over Backplane Classes 100G Signaling Options over Backplane Classes IEEE P802.3bj January 2012 Newport Beach FutureWei Hiroshi Takatori Hiroshi.Takatori@huawei.com Contributors and Supporters Albert Vareljian Sanjay Kasturia,

More information

Features: Compliance: Applications: Warranty: XFP-10GZR-OC192LR-GT Multirate XFP 10GBASE-ZR & OC-192/STM-64 LR2 Cisco Compatible

Features: Compliance: Applications: Warranty: XFP-10GZR-OC192LR-GT Multirate XFP 10GBASE-ZR & OC-192/STM-64 LR2 Cisco Compatible The GigaTech Products is programmed to be fully compatible and functional with all intended CISCO switching devices. This XFP optical transceiver is designed for IEEE 802.3ae 10GBASE-ZR, 10GBASE-ZW, 10GFC

More information

SerDes Channel Simulation in FPGAs Using IBIS-AMI

SerDes Channel Simulation in FPGAs Using IBIS-AMI White Paper: Virtex-6 FPGA Family WP382 (v10) December 9, 2010 SerDes Channel Simulation in FPGAs Using IBIS-AMI By: Romi Mayder The IBIS Algorithmic Modeling Interface (IBIS-AMI) was developed to enable

More information

EE3032 Introduction to VLSI Design

EE3032 Introduction to VLSI Design EE3032 Introduction to VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS

More information

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about?

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about? - Spring 2002 Introduction to Digital Integrated Circuits Tu-Th 9:30-am 203 McLaughlin What is this class about? Introduction to digital integrated circuits.» CMOS devices and manufacturing technology.

More information

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing Second International Workshop on HyperTransport Research and Application (WHTRA 2011) University of Heidelberg Computer

More information

Optical SerDes Test Interface for High-Speed and Parallel Testing

Optical SerDes Test Interface for High-Speed and Parallel Testing June 7-10, 2009 San Diego, CA SerDes Test Interface for High-Speed and Parallel Testing Sanghoon Lee, Ph. D Sejang Oh, Kyeongseon Shin, Wuisoo Lee Memory Division, SAMSUNG ELECTRONICS Why Interface? High

More information

A APXDxxHM0xDL Gb/s XFP Transceiver. APXDxxHM0xDL40. Product Features. Applications. General. Product Selection. Product Channel Selection

A APXDxxHM0xDL Gb/s XFP Transceiver. APXDxxHM0xDL40. Product Features. Applications. General. Product Selection. Product Channel Selection 10.3Gb/s XFP Transceiver APXDxxHM0xDL40 Product Features Supports 9.95 to 11.3Gb/s Duplex LC connector Hot-pluggable XFP footprint Cooled 1550nm EML laser RoHS compliant and Lead Free 40Km link length

More information

HMC7545AxLP Gbps 4-Channel Asynchronous Signal Conditioner Evaluation Board (EVB) User Guide

HMC7545AxLP Gbps 4-Channel Asynchronous Signal Conditioner Evaluation Board (EVB) User Guide HMC7545AxLP47 14.2 Gbps 4-Channel Asynchronous Signal Conditioner Evaluation Board (EVB) User Guide User Guide Part # ECN# CP140238 Hittite Microwave Corporation 2 Elizabeth Dr Chelmsford, MA 01824 United

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

The FPGA: An Engine for Innovation in Silicon and Packaging Technology The FPGA: An Engine for Innovation in Silicon and Packaging Technology Liam Madden Corporate Vice President September 2 nd, 2014 The Zynq Book Embedded Processing with the ARM Cortex-A9 on the Xilinx Zynq

More information

VLSI design project, TSEK01

VLSI design project, TSEK01 VLSI design project, TSEK01 Project description and requirement specification Version 1.0 Project: A First-Order Sigma-Delta Modulator with 3-bit Quantizer Project number: 5 Project Group: Name Project

More information

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation C. Chastang, A. Amédéo V. Poisson, P. Grison, F. Demuynck C. Gautier, F. Costa Thales Communications &

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 8 SERES 1 High-Speed Circuits and Systems Lab., Yonsei University 2013-1 Block diagram Where are we today? Serializer Tx river Channel Rx Equalizer Sampler eserializer

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

10-Gigabit Ethernet DWDM OTN Optical Interface Specifications

10-Gigabit Ethernet DWDM OTN Optical Interface Specifications 1-Gigabit Ethernet DWDM OTN Optical Interface Specifications M12 router and T Series routers support the following 1-Gigabit Ethernet DWDM OTN PIC transceiver. To determine DWDM OTN support, see the cables

More information

Simulation Results for 10 Gb/s Duobinary Signaling

Simulation Results for 10 Gb/s Duobinary Signaling Simulation Results for 10 Gb/s Duobinary Signaling Populating the Signaling Ad Hoc Spreadsheet IEEE 802.ap Task Force Atlanta March 15-17, 2005 802.AP Backplane Ethernet Contributors Vitesse Majid Barazande-Pour

More information

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF

TEXAS INSTRUMENTS ANALOG UNIVERSITY PROGRAM DESIGN CONTEST MIXED SIGNAL TEST INTERFACE CHRISTOPHER EDMONDS, DANIEL KEESE, RICHARD PRZYBYLA SCHOOL OF TEXASINSTRUMENTSANALOGUNIVERSITYPROGRAMDESIGNCONTEST MIXED SIGNALTESTINTERFACE CHRISTOPHEREDMONDS,DANIELKEESE,RICHARDPRZYBYLA SCHOOLOFELECTRICALENGINEERINGANDCOMPUTERSCIENCE OREGONSTATEUNIVERSITY I. PROJECT

More information

Keysight Technologies IBIS-AMI Based Link Analysis of Realistic 56G PAM4 Channels

Keysight Technologies IBIS-AMI Based Link Analysis of Realistic 56G PAM4 Channels Keysight Technologies IBIS-AMI Based Link Analysis of Realistic 56G PAM4 Channels White Paper This white paper was first published at DesignCon in January, 2016. Reprinted with permission from DesignCon.

More information

BT6201 FOUR-CHANNEL 30GB/S BERT (V.2.5)

BT6201 FOUR-CHANNEL 30GB/S BERT (V.2.5) BT6201 FOUR-CHANNEL 30GB/S BERT (V.2.5) The STELIGENT BT6201 is a high performance, easy to use, 4 Lanes, cost-effective, 4 x 30 Gb/s Bit Error-Rate Tester (BERT) for current 100 G TOSA/ROSA components

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan EEA001 VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS Circuits MOS Transistor

More information

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation * Other names, logos and brands may be claimed as the property of others. Copyright 2009, Intel

More information

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS

A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS A 20 GSa/s 8b ADC with a 1 MB Memory in 0.18 µm CMOS Ken Poulton, Robert Neff, Brian Setterberg, Bernd Wuppermann, Tom Kopley, Robert Jewett, Jorge Pernillo, Charles Tan, Allen Montijo 1 Agilent Laboratories,

More information

InfiniBand FDR 56-Gbps QSFP+ Active Optical Cable PN: WST-QS56-AOC-Cxx

InfiniBand FDR 56-Gbps QSFP+ Active Optical Cable PN: WST-QS56-AOC-Cxx Data Sheet PN: General Description WaveSplitter s Quad Small Form-Factor Pluggable Plus (QSFP+) active optical cables (AOC) are highperformance active optical cable with bi-directional signal transmission

More information

Multi-Drop LVDS with Virtex-E FPGAs

Multi-Drop LVDS with Virtex-E FPGAs Multi-Drop LVDS with Virtex-E FPGAs XAPP231 (Version 1.0) September 23, 1999 Application Note: Jon Brunetti & Brian Von Herzen Summary Introduction Multi-Drop LVDS Circuits This application note describes

More information

CS61C Machine Structures. Lecture 1 Introduction. 8/25/2003 Brian Harvey. John Wawrzynek (Warznek) www-inst.eecs.berkeley.

CS61C Machine Structures. Lecture 1 Introduction. 8/25/2003 Brian Harvey. John Wawrzynek (Warznek) www-inst.eecs.berkeley. CS61C Machine Structures Lecture 1 Introduction 8/25/2003 Brian Harvey (www.cs.berkeley.edu/~bh) John Wawrzynek (Warznek) (www.cs.berkeley.edu/~johnw) www-inst.eecs.berkeley.edu/~cs61c/ CS 61C L01 Introduction

More information

A block diagram of the HXFP-XDX1XX XFP optical transceiver is shown below

A block diagram of the HXFP-XDX1XX XFP optical transceiver is shown below Features Support 9.95 Gb/s to 11.3 Gb/s serial optical and electrical interface Temperature Stabilized DWDM EML Transmitter 1550nm cooled EML transmitter with TEC and PIN receiver Both 40Km and 80Km Units

More information

10-Gigabit Ethernet DWDM OTN PIC Optical Interface Support (T640 Router)

10-Gigabit Ethernet DWDM OTN PIC Optical Interface Support (T640 Router) 1-Gigabit Ethernet DWDM OTN PIC Optical Interface Support (T64 Router) Table 1 describes the optical interfaces supported on the 1 Gigabit Ethernet DWDM OTN PIC. Table 1: Optical Interface Support for

More information

PCI Express Electrical Basics

PCI Express Electrical Basics PCI Express Electrical Basics Dean Gonzales Advanced Micro Devices Copyright 2015, PCI-SIG, All Rights Reserved 1 Topics PCI Express Overview Enhancements for 8GT/s Target Channels for the Specification

More information

ECEN 474 Homework #2 Notes

ECEN 474 Homework #2 Notes ECEN 474 Homework #2 Notes February 22, 2018 Due: 3-6-2018, 5:00PM Homeworks will not be received after due. Instructor: Sam Palermo 1. (50 points) Technology Characterization for Design In this problem,

More information

Agilent Technologies Advanced Signal Integrity

Agilent Technologies Advanced Signal Integrity Agilent Technologies Advanced Signal Integrity Measurements for Next Generation High Speed Serial Standards Last Update 2012/04/24 (YS) Appendix VNA or TDR Scope? ENA Option TDR Overview USB 3.0 Cable/Connector

More information

40 Gigabit QSFP CWDM 1310 nm LR4 for 10 km

40 Gigabit QSFP CWDM 1310 nm LR4 for 10 km Features 4 CWDM lanes MUX/DEMUX design Up to 11.2Gbps data rate per wavelength QSFP MSA compliant Up to 10km transmission Operating case temperature: 0~70C Maximum 3.5W operation power RoHS compliant Applications

More information

Technical Article MS-2442

Technical Article MS-2442 Technical Article MS-2442. JESD204B vs. Serial LVDS Interface Considerations for Wideband Data Converter Applications by George Diniz, Product Line Manager, Analog Devices, Inc. Some key end-system applications

More information

Multi-Gigabit Serial Link Analysis using HSPICE and AMI Models

Multi-Gigabit Serial Link Analysis using HSPICE and AMI Models Multi-Gigabit Serial Link Analysis using HSPICE and AMI Models Douglas Burns Barry Katz Walter Katz Mike Steinberger Todd Westerhoff Signal Integrity Software, Inc. (SiSoft) Maynard, MA, USA www.sisoft.com

More information

ECE 497 JS Lecture - 21 Noise in Digital Circuits

ECE 497 JS Lecture - 21 Noise in Digital Circuits ECE 497 JS Lecture - 21 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - NL05 program available -

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2018 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates Introduction Many applications and designs are adopting clock data recovery-based (CDR) transceivers for interconnect data transfer.

More information

Programmable CMOS LVDS Transmitter/Receiver

Programmable CMOS LVDS Transmitter/Receiver SPECIFICATION 1. FEATURES Technology TSMC 0.13um CMOS 3.3 V analog power supply 1.2 V digital power supply 1.2V CMOS input and output logic signals 8-step (3-bit) adjustable transmitter output current

More information

DisplayPort 1.4 Webinar

DisplayPort 1.4 Webinar DisplayPort 1.4 Webinar Test Challenges and Solution Yogesh Pai Product Manager - Tektronix 1 Agenda DisplayPort Basics Transmitter Testing Challenges DisplayPort Type-C Updates Receiver Testing Q and

More information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction CMPEN 411 VLSI Digital Circuits Kyusun Choi Lecture 01: Introduction CMPEN 411 Course Website link at: http://www.cse.psu.edu/~kyusun/teach/teach.html [Adapted from Rabaey s Digital Integrated Circuits,

More information

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001 2000 Technology Roadmap Optoelectronics John Stafford, Motorola January 17, 2001 Optoelectronic Roadmap Agenda Optoelectronics Market Overview Optical Communications Roadmap Optical Communications Technology

More information

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future Peter Alfke, Xilinx, Inc. Hot Chips 20, August 2008 Virtex-5 FXT A new FPGA Platform, plus a Look into the Future FPGA Evolution Moore s Law: Double density every other year New process technology, smaller

More information

in Synchronous Ethernet Networks

in Synchronous Ethernet Networks Jitter and Wander Measurements in Synchronous Ethernet Networks Andreas Alpert ITSF November 2008 Agenda Introduction ti Synchronous Ethernet Ji d W d A Jitter and Wander Aspects Test Applications in SyncE

More information

25Gb/s 10km SFP28 Optical Transceiver TR-PY13L-N00 Product Specification

25Gb/s 10km SFP28 Optical Transceiver TR-PY13L-N00 Product Specification 25Gb/s 10km SFP28 Optical Transceiver TR-PY13L-N00 Product Specification Features Up to 25.78Gb/s bi-directional data links 1310nm DFB transmitter, PIN photodetector 2-wire interface for management specifications

More information

Agilent. Master your next PCIe test with the Agilent M8020A High-Performance BERT Application Brief

Agilent. Master your next PCIe test with the Agilent M8020A High-Performance BERT Application Brief Agilent Master your next PCIe test with the Agilent M8020A High-Performance BERT Application Brief 1 Table of Contents Contents Disclaimer... 3 1 Introduction... 4 2 PCI Express Specifications... 4 3 PCI

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 1: Introduction Dr. Mohamed Abd El Ghany, Mohamed.abdel-ghany@guc.edu.eg Administrative Rules Course components: Lecture: Thursday (fourth slot), 13:15-14:45 (H8) Office

More information

Transmitter testing for MMF PMDs

Transmitter testing for MMF PMDs Transmitter testing for MMF PMDs IEEE P802.3cd, San Diego, July 2016 Jonathan Ingham Foxconn Interconnect Technology Supporters Will Bliss (Broadcom) Ali Ghiasi (Ghiasi Quantum) Vasu Parthasarathy (Broadcom)

More information

Part Number Operating temperature DDMI. APCS43123CDL20 Commercial Yes. APCS43123IDL20 Industrial Yes

Part Number Operating temperature DDMI. APCS43123CDL20 Commercial Yes. APCS43123IDL20 Industrial Yes APCS43123xxL20 Product Features Two Bi-Directional transceivers in one SFP package Up to 1.25Gb/s data links Duplex LC connector Hot-pluggable SFP footprint 1490nm DFB laser transmitter RoHS compliant

More information

Modeling and Analysis of Crosstalk between Differential Lines in High-speed Interconnects

Modeling and Analysis of Crosstalk between Differential Lines in High-speed Interconnects 1293 Modeling and Analysis of Crosstalk between Differential Lines in High-speed Interconnects F. Xiao and Y. Kami University of Electro-Communications, Japan Abstract The crosstalk between a single-ended

More information

OP-SFP Gbps SFP+ Transceiver

OP-SFP Gbps SFP+ Transceiver 10.3Gbps SFP+ Transceiver Product Description The OP-SFP + -300 series multi-mode transceivers are SFP + module for bi-directional serial optical data communications such as10gbase-sr and 10GBASE-SW. It

More information

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards White Paper ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards Sidhartha Mohanty and Fred Koons Lattice Semiconductor Corporation October 2003 Bringing the Best

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Course Topics Overview. Learning Objectives.

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Course Topics Overview. Learning Objectives. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 1: January 17, 2019 Introduction and Overview Lecture Outline Course Topics Overview Learning Objectives Course Structure Course Policies

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information EE24 - Spring 2000 Advanced Digital Integrated Circuits Tu-Th 2:00 3:30pm 203 McLaughlin Practical Information Instructor: Borivoje Nikolic 570 Cory Hall, 3-9297, bora@eecs.berkeley.edu Office hours: TuTh

More information

Stelight Instrument BT6206 Eight Channel 30Gb/s BERT (V 3.0) Data Sheet

Stelight Instrument BT6206 Eight Channel 30Gb/s BERT (V 3.0) Data Sheet Stelight Instrument BT6206 Eight Channel 30Gb/s BERT (V 3.0) Data Sheet General Information The Stelight Instrument BT6206 is a high performance, easy to use, 8 Lanes, costeffective, 8 x 30 Gb/s Bit Error-Rate

More information

Access PIN-TIA Receivers for 155 Mb/s and 622 Mb/s EDR 51xx Series

Access PIN-TIA Receivers for 155 Mb/s and 622 Mb/s EDR 51xx Series COMMUNICATIONS COMPONENTS Access PIN- Receivers for 55 Mb/s and 6 Mb/s EDR 5xx Series Applications Single mode 55 Mb/s (EDR 5x) and 6 Mb/s (EDR 55x) ATM receivers Campus network backbone - Add/drop multiplexers

More information

Stelight Instrument BT6201 Four Channel 30Gb/s BERT (V 3.0) Data Sheet

Stelight Instrument BT6201 Four Channel 30Gb/s BERT (V 3.0) Data Sheet Stelight Instrument BT6201 Four Channel 30Gb/s BERT (V 3.0) Data Sheet General Information The Stelight Instrument BT6201 is a high performance, easy to use, 4 Lanes, costeffective, 4 x 30 Gb/s Bit Error-Rate

More information

ECE 154A. Architecture. Dmitri Strukov

ECE 154A. Architecture. Dmitri Strukov ECE 154A Introduction to Computer Architecture Dmitri Strukov Lecture 1 Outline Admin What this class is about? Prerequisites ii Simple computer Performance Historical trends Economics 2 Admin Office Hours:

More information