ESD Protection Circuits: Basics to nano-metric ASICs

Size: px
Start display at page:

Download "ESD Protection Circuits: Basics to nano-metric ASICs"

Transcription

1 ESD Protection Circuits: Basics to nano-metric ASICs Manoj Sachdev University of Waterloo September

2 Outline Group Introduction ESD Basics Basic ESD Protection Circuits Nano-metric ESD Challenge ESD circuits for nano-metric regime 2

3 Group Introduction 5 PhDs, 2 masters and 2 PDFs Applied, industrially driven research Generous funding levels Core strengths in circuit design, testing, quality and reliability 3

4 Group: Low Power Research Driven by low power signal processing & bio-implantable applications Research focus Active power reduction, clocking strategies Dynamic voltage scaling architecture for portable app. Leakage power reduction: Investigation of RBB effectiveness with scaling 4

5 Group: High Performance Circuits Driven by high speed arithmetic circuits (Adders, register files, ALU), and CDRs Research focus To build timing diagnostics into multi-ghz ALUs Leakage and active power reduction Clock de-skewing Thermal issues in high performance circuits 5

6 Group: Memory Research Driven by embedded SRAMs and Soft Error Robustness Research Focus SRAM cell stability & ckt techniques for detection Low power embedded SRAMs Soft Error Robust memories & flip-flops Error Correction Circuit s for soft error mitigation 6

7 Group: ESD Research Driven by reducing chip failures due to ESD Research Focus ESD strategies for multiple supply domains ESD protection circuits for High speed I/Os Fast response ESD protection circuits 7

8 Outline Group Introduction ESD Basics Basic ESD Protection Circuits Nano-metric ESD Challenge ESD circuits for nano-metric regime 8

9 ESD Basics :Motivation Electrostatic Discharge (ESD) is responsible for up to 70% of failures in semiconductor industry An ESD event creates high currents and electric fields in semiconductor devices High currents may lead to thermal runaway High electric fields cause dielectric breakdown 9

10 ESD Basics When two dissimilar materials are separated an ESD charge may develop Caused by the removal of electrons from surface atoms of materials Factors Magnitude of static charge Contact quality Rate of separation 10

11 Human Body Model (HBM) R A B R b =1.5k V C b =100pF DUT Mimics the human touching of the Device Under Test (DUT) Voltages as high as 10kV can be developed HBM modeled by series resistance (R b = 1.5kΩ) and capacitance (C b = 100pF) 11

12 Machine Model (MM) R A B 0.5uH V 200pF DUT Represents the damage caused by charged machine touching the DUT Voltages as high as V can be generated MM is modeled by capacitance (C = 200pF) and series inductance of machine (0.μ5H) 12

13 Charge Device Model (CDM) R A B V DUT Cap Discharge event between charged DUT and grounded conductor Modeled by Capacitor (Cap) in series with DUT Total Capacitance (Cap) is dependant on Device, package impedance 13

14 ESD Stress Comparison HBM rise time ~ 2-10ns, decay time ns CDM very high amplitude, occurs for 500ps-1000ps CDM failures on rise due to automated manufacturing 14

15 Zapping Modes Positive ESD with respect to V SS (PS-mode) Negative ESD with respect to V SS (NS-mode) V DD V DD V ESD V ESD V SS V SS 15

16 Zapping Modes Positive ESD with respect to V DD (PD-mode) Negative ESD with respect to V DD (ND-mode) V DD V DD V ESD V ESD V SS V SS 16

17 HBM / MM / CDM Testers HBM/MM/CDM testers apply ESD stress to the DUT Magnitude/polarity of the stress set by the user Test can be destructive Results in pass or fail The device fails when leakage is increased significantly ICMS-700 HBM/MM tester 17

18 TLP Tester Transmission Line Pulse (TLP) is becoming popular Measures I-V characteristic of the DUT Non-destructive Programmable current pulse of 100ns are applied to the DUT 2 nd breakdown current determines the ESD robustness Barth 4002 TLP tester 18

19 Outline Group Introduction ESD Basics Basic ESD Protection Circuits Nano-metric ESD Challenge ESD circuits for nano-metric regime 19

20 ESD Protection Methods Snapback-based Non-snapback-based Turn on before oxide breakdown Trigger and conduct during the whole ESD event Minimum parasitics Do not trigger under normal power-up 20

21 Diode Under ESD Conditions Forward biased: Low trigger voltage Low on resistance Reverse biased: High trigger voltage High on resistance 21

22 MOS Under ESD Conditions Has a parasitic bipolar transistor Avalanche breakdown gives I gen and I sub When V base = 0.7V, npn turns on Snapback When I(drain) = I t2, npn can be destroyed Drain n+ n+ I gen V base I sub R sub p-sub 22

23 Snapback Protection: MOSFET MOSFET is used in Grounded- Gate configuration (GGNMOS) V DD PAD PreDriver Substrate and Gate triggering is often needed I/O PAD GGNMOS PreDriver V SS PAD ESD Protection Device Buffer stage 23

24 ESD Protection Wish List V t1 < Oxide breakdown To trigger NMOS before oxide breaks down V t2 > V t1 To ensure uniform triggering of all fingers V h > V DD To enhance latch-up immunity (V DD + 10%) I t2 as high as possible Increase current carrying capability ESD A GGNMOS cannot meet all the above requirements for contemporary technologies 24

25 SCR Under ESD Conditions SCR is a pnpn device In CMOS the junctions are: p + -nwell-psub-n + Anode V DD PAD PreDriver n + p + n + p + n-well I/O PAD p + n - p - PreDriver n + p-sub V SS PAD ESD Protection Device Buffer stage 25

26 SCR Characteristic Avalanche breakdown of nwell-psub I Rnwell and I Rpsub When V BE reaches 0.7V, npn (or pnp) turns on Positive feedback turns on the pnp (or npn) Snapback Anode I(anode) R n-well (V t2, I t2 ) (V h, I h ) (V t1, I t1 ) R p-sub V(anode) 26

27 ESD Devices: Comparison Protection level: SCR and FB diode are the best Trigger voltage: FB Diode is too low NMOS is the best SCR should be modified Holding voltage: NMOS is ok SCR should be modified Figure of merit Protection level/capacitance 27

28 Non-Snapback Protection: Clamps ESD event V(1) rises turning on M 0 R C C C + inverters should keep M 0 on to discharge all ESD energy Advantages Protect against different zapping conditions Disadvantages Can turn on during normal power-up ESD event: t r : between 100ps and 60ns duration: up to 1μs Regular power-up: In millisecond range Hot plug app. power-up as low as 1μs 28

29 Outline Group Introduction ESD Basics Basic ESD Protection Circuits Nano-metric ESD Challenge ESD circuits for nano-metric regime 29

30 Challenges with Scaling Breakdown Voltage of CMOS devices is decreasing Traditional ESD structures not scaling with technology scaling Larger ULSI, thinner metallization, shallower junctions Increasingly difficult to provide low impedance, low capacitance discharge path 30

31 Challenges: Charged Device Model Current (A) HBM MM CDM Time (ns) Lower Technologies (90nm, 65nm) damage occur at lower voltages Traditional ESD circuits trigger slower High speed chips larger package decoupling cap Higher CDM discharge current!! CDM failures on rise due to automated manufacturing 31

32 Challenges: Multiple Supply Domains Multiple supply domains in SoC s Pin to pin ESD protection requirement Multiple zapping modes Challenge to Overcome Noise Coupling & groundbouncing issues between analog & digital supplies 32

33 Challenges: Multiple Chip Module Resistance of the path can be very high (Multiple Chip Module) Minimization of Parasitic Capacitance attributed to the High Speed I/O s due to ESD Circuit 33

34 Outline Group Introduction ESD Basics Basic ESD Protection Circuits Nano-metric ESD Challenge ESD circuits for nano-metric regime 34

35 Strategy Device Simulation MOS models, circuit simulators are not designed to handle snapback behavior ESD circuits are designed with device simulators (Medici and Sequoia) Device cross section is created in the simulator Quasi-DC simulation predicts DC characteristic, i.e. V t1 and V h I t2 is estimated using thermal simulation and monitoring maximum temperature of the ESD protection device 35

36 Design Steps 1. Calibrate the device simulator with the desired technology Junction depth and substrate doping are available from technology documents 2. Verify the technology model by simulating a MOS transistor Trial and error is used to achieve the typical I(on), V th, current gain and 3. Draw the cross-section of the ESD device 36

37 Design Example #1 LVTSCR p-type n-type contact oxide Mesh of the Low-Voltage-Triggered SCR (LVTSCR) created in Medici Reducing grid spacing increases accuracy and simulation time 37

38 Snapback Protection Requirements Reduce first breakdown voltage SCR has higher V t1 compared to MOS V t1 of both MOS and SCR are higher than oxide breakdown voltage Latch-up immunity Very important in SCR devices Increasing second breakdown current SCR has higher I t2 per width Reducing parasitic capacitance SCR provides protection with less capacitance I(anode) (V t2, I t2 ) (V h, I h ) (V t1, I t1 ) 38 V(anode)

39 Gate-Coupling V gs I sub npn triggers faster V t1 For higher V gs (strong inversion region): impact ionization is decreased V t1 increases Vt1 (V) V(Gate) (V) 39

40 Substrate Triggering V sub Transistor triggers with lower V t1 Drain n + n + Vt1 (V) p-sub Sub V(Sub) (V) 40

41 GST-LVTSCR SCR is modified by adding a gate electrode to reduce V t1 (LVTSCR) M G provides gate triggering Can be as small as 5μm M S provides substrate triggering Simulation results V t1 reduces from 12V to 4.85V Semenov et. al., Microelectronics Reliability,

42 GST-LVTSCR (Measurement) GST-LVTSCR was fabricated in 0.13μm CMOS technology TLP measurements V t1 = 5V I t2 = 1.8A HBM measurements Leakage (A) 1.00E E E E E E E E+00 Current (A) 1.00E+00 TLP I-V 5.00E-01 TLP Leakage Device passes ±3kV 0.00E Voltage (V) 42

43 Example #2 Increasing V h In holding region both Q 1 and Q 2 are in saturation SCR Anode R n-well I 1 Q 1 V h = V EB1 + V CE2(sat) High V h SCR (R E is added) Q 2 R E I 2 R p-sub V h = V EB1 + V CE(sat) + R E I 1 V h = V EB1 + V CE(sat) + V EB1 R E /R n-well Anode Cathode R E Cathode R E V h n + p + n + p + n-well Semenov et. al., ISQED, 2004 p-sub 43

44 Increasing Holding Voltage Simulation results Applied to SCR V h is increased without an increase in V t1 R E is implemented with diode and MOS and applied to LVTSCR TLP Measurement results V h is increased from 2.29V to 3.49V and 4.55V Increase in V t1 is less than 8% Log I(anode) (A) 1E-06 1E-08 1E-10 1E E-01 LVTSCR HighVhMOS 1.00E-01 HighVhDiode 8.00E-02 I(anode) (A) 6.00E E E-02 V(anode) (V) SCR R=2k R=5k E V(anode) (V)

45 Example #3 ESD Clamps To solve false triggering, the triggering circuit is divided into rise time detector and delay element Time constant is approximately 40ns Delay of the delay element should be more than 1μs 45

46 Thyristor-Based Clamp V DD C C M 1 M M 0 R C M 2 M 3 R 1 V SS Rise Time Detector Delay Element CMOS thyristor is used to create the delay element R C C C = 40ns R 1 to keep M 0 off under normal conditions Hossein et. al., ESD Symposium

47 Circuit-Level Simulation The clamp is circuit simulated with 2kV HBM stress V(3) shows that clamp turns off after 1μs Peak voltage of the clamp is less than 6V Voltage (V) VDD V(1) V(3) -1 0E+0 3E-7 5E-7 8E-7 1E-6 1E-6 2E-6 Time (s) 47

48 Device-Level Simulation Device simulation is done with Sequoia Peak temperature is in transistor M 0 During a 2kV HBM stress T max of the clamp is 375K Hot-spot is in the gatedrain boundary 48

49 Measurements Clamp was fabricated in 0.18μm technology Log Leakage (A) HBM test 1E Clamp passes 3kV stress and fails at 3.5kV TLP test Current (A) Leakage current is 7nA Second breakdown current is 1.8A TLP I-V TLP Leakage Voltage (V) 49

50 Example #4 CML Driver Design Two stage 3Gbps CML driver is designed in 0.13μm CMOS tech. Diff. Input Diff. Output 400mV 800mV Bias of the driver is provided through an external resistor Rise/fall time Jitter 150ps 1ps 50

51 Measured Results 5000 V out t r Jitter 4000 CML (simulation) 850mV 116ps 229fs Jitter (fs) CML+MOS (measured) CML+LVTSCR (measured) 500mV 315ps 3.7ps 700mV 148ps 700fs Both Protection schemes achieved 3kV HBM protection ESD Capacitance (ff) Beyond C ESD =150fF jitter increases significantly Hossein et. al., CICC

52 Conclusion ESD remains major cause of chip failures ESD affects entire manufacturing from devices systems Significant challenges for nano-metric technologies ESD circuit design is an art Device simulator are useful in design process 52

53 Acknowledgement Help of Hossein Sarbishaei, Sumanjit Singh, and Oleg Semenov is greatly appreciated for this presentation 53

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

ESD Protection Device and Circuit Design for Advanced CMOS Technologies

ESD Protection Device and Circuit Design for Advanced CMOS Technologies ESD Protection Device and Circuit Design for Advanced CMOS Technologies Oleg Semenov Hossein Sarbishaei Manoj Sachdev ESD Protection Device and Circuit Design for Advanced CMOS Technologies Authors: Oleg

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

ESD 충북대학교 전자정보대학 김영석

ESD 충북대학교 전자정보대학 김영석 ESD 충북대학교 2011.9 1 ElectroStatic Charge Generation When 2 Surfaces in Contact then Separate Some Atom Electrons Move Causing Imbalance One Surface Has Positive Charge & One Surface Has Negative Charge

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits

ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits Recent Patents on Engineering 2007, 1, 000-000 1 ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits Ming-Dou Ker* and Yuan-Wen

More information

Novel gate and substrate triggering techniques for deep sub-micron ESD protection devices

Novel gate and substrate triggering techniques for deep sub-micron ESD protection devices Microelectronics Journal 37 (2006) 526 533 www.elsevier.com/locate/mejo Novel gate and substrate triggering techniques for deep sub-micron ESD protection devices O. Semenov a, *, H. Sarbishaei a, V. Axelrad

More information

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process IEICE TRANS. ELECTRON., VOL.E88 C, NO.3 MARCH 2005 429 PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process Ming-Dou KER a), Kun-Hsien LIN, and Che-Hao CHUANG, Nonmembers

More information

SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes

SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes 58 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 3, NO. 3, SEPTEMBER 2003 SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes

More information

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Vol. 30, No. 8 Journal of Semiconductors August 2009 Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Jiang Yuxi(ñŒD), Li Jiao(o),

More information

CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS NICHOLAS ALLEN OLSON DISSERTATION

CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS NICHOLAS ALLEN OLSON DISSERTATION CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS BY NICHOLAS ALLEN OLSON DISSERTATION Submitted in partial fulfillment of the requirements for the

More information

ELECTROSTATIC discharge (ESD) is considered as a

ELECTROSTATIC discharge (ESD) is considered as a 358 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 8, NO. 2, JUNE 2008 A New Flip-Flop-Based Transient Power Supply Clamp for ESD Protection Hossein Sarbishaei, Member, IEEE, Oleg Semenov,

More information

RF ESD Protection Strategies The Design and Performance Trade-off Challenges

RF ESD Protection Strategies The Design and Performance Trade-off Challenges RF ESD Protection Strategies The Design and Performance Trade-off Challenges Ph.Jansen, S.Thijs, D.Linten, M.I.Natarajan V.Vassilev, M.Liu, D.Trémouilles, S.Decoutere, G.Groeseneken T.Nakaie, M.Sawada,

More information

ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process

ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process Tao-Yi Hung and Ming-Dou Ker Institute of Electronics, National Chiao Tung University, Hsinchu, Taiwan Abstract- ESD protection design

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 53 (2013) 208 214 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel PMOS-based power-rail ESD

More information

ELECTROSTATIC discharge (ESD) is a transient process

ELECTROSTATIC discharge (ESD) is a transient process 320 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 18, NO. 2, MAY 2005 SCR Device Fabricated With Dummy-Gate Structure to Improve Turn-On Speed for Effective ESD Protection in CMOS Technology Ming-Dou

More information

4. Hot Socketing and Power-On Reset in MAX V Devices

4. Hot Socketing and Power-On Reset in MAX V Devices December 2010 MV51004-1.0 4. Hot Socketing and Power-On Reset in MAX V Devices MV51004-1.0 This chapter provides information about hot-socketing specifications, power-on reset (POR) requirements, and their

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Ming-Dou Ker, Hsin-Chyh Hsu, and Jeng-Jie Peng * Nanoelectronics and

More information

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465 Data Sheet Single Channel Protector in a SOT-23 Package and a MSOP Package FEATURES Fault and overvoltage protection up to ±40 V Signal paths open circuit with power off Signal path resistance of RON with

More information

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp . BRIEF REPORT. SCIENCE CHINA Information Sciences February 2014, Vol. 57 029401:1 029401:6 doi: 10.1007/s11432-013-5016-1 Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power

More information

ELECTROSTATIC discharge (ESD) is a transient process

ELECTROSTATIC discharge (ESD) is a transient process IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 5, NO. 3, SEPTEMBER 2005 543 Native-NMOS-Triggered SCR With Faster Turn-On Speed for Effective ESD Protection in a 0.13-µm CMOS Process Ming-Dou

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis AY 2015-16 26/04/2016-1

More information

Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs

Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics, National Chiao-Tung

More information

AZC002-04S Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact)

AZC002-04S Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

AZC002-02N Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact)

AZC002-02N Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact) Features ESD Protect for 2 high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4-2 (ESD) ±15kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) (5/50ns) Level-3, 20A for I/O, 40A for

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis 23/05/2014-1 ATLCE - F2-2014 DDC 2014 DDC

More information

AZC099-04S 4 IEC (ESD)

AZC099-04S 4 IEC (ESD) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

IEC (EFT) 40A

IEC (EFT) 40A Features ESD Protect for high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4- (ESD) ±1kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) 40A (/0ns) IEC 61000-4- (Lightning) 1A (8/0µs)

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices

Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices 1380 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 8, AUGUST 2003 Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices Ming-Dou Ker, Senior Member, IEEE, and Kuo-Chun

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

ESD Protection Device and Circuit Design for Advanced CMOS Technologies

ESD Protection Device and Circuit Design for Advanced CMOS Technologies ESD Protection Device and Circuit Design for Advanced CMOS Technologies Oleg Semenov Hossein Sarbishaei Manoj Sachdev ESD Protection Device and Circuit Design for Advanced CMOS Technologies Authors: Oleg

More information

AOZ8882. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application

AOZ8882. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The AOZ8882 is a transient voltage suppressor array designed to protect high speed data lines such as HDMI, MDDI, USB, SATA, and Gigabit Ethernet

More information

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices 190 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 4, DECEMBER 2002 Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices Tung-Yang

More information

Impact of Voltage Overshoots on ESD Protection Effectiveness for High Voltage Applications

Impact of Voltage Overshoots on ESD Protection Effectiveness for High Voltage Applications 1 technische universität dortmund International ESD Workshop: 2010 Impact of Voltage Overshoots on ESD Protection Effectiveness for High Voltage Applications Yiqun Cao 1,2, Ulrich Glaser 1, Alevtina Podgaynaya

More information

On-Chip Electro-Static Discharge (ESD) Protection For Radio-Frequency Integrated Circuits

On-Chip Electro-Static Discharge (ESD) Protection For Radio-Frequency Integrated Circuits On-Chip Electro-Static Discharge (ESD) Protection For Radio-Frequency Integrated Circuits Qiang Cui Juin J. Liou Jean-Jacques Hajjar Javier Salcedo Yuanzhong Zhou Srivatsan Parthasarathy On-Chip Electro-Static

More information

AS ultra-large-scale-integrated (ULSI) circuits are being

AS ultra-large-scale-integrated (ULSI) circuits are being IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 8, NO. 3, SEPTEMBER 2008 549 Active ESD Protection Design for Interface Circuits Between Separated Power Domains Against Cross-Power-Domain ESD

More information

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process Ming-Dou Ker (1, 2), Wen-Yi Chen (1), Wuu-Trong Shieh (3), and I-Ju Wei (3) (1) Institute of Electronics, National

More information

IN DEEP submicrometer CMOS technology, electrostatic

IN DEEP submicrometer CMOS technology, electrostatic 102 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 6, NO. 1, MARCH 2006 ESD Failure Mechanisms of Analog I/O Cells in 0.18-µm CMOS Technology Ming-Dou Ker, Senior Member, IEEE, Shih-Hung Chen,

More information

Characteristics analysis and optimization design of a new ESD power clamp circuit

Characteristics analysis and optimization design of a new ESD power clamp circuit 007 Microelectronics Reliability Microelectronics Reliability 50 (2010) 1087 1093 Characteristics analysis and optimization design of a new ESD power clamp circuit Hongxia Liu *, Baojun Tang, Yue Hao Key

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

ESD AND OVERVOLTAGE PROTECTION ISSUES IN MODERN IC TECHNOLOGY. Master of Science. VLSI and Embedded Systems

ESD AND OVERVOLTAGE PROTECTION ISSUES IN MODERN IC TECHNOLOGY. Master of Science. VLSI and Embedded Systems ESD AND OVERVOLTAGE PROTECTION ISSUES IN MODERN IC TECHNOLOGY A Thesis submitted in partial fulfillment for the award of degree of Master of Science in VLSI and Embedded Systems Submitted by, Akshaykumar

More information

WITH the decrease of the power supply voltage for

WITH the decrease of the power supply voltage for IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 9, NO. 1, MARCH 2009 49 Design of High-Voltage-Tolerant ESD Protection Circuit in Low-Voltage CMOS Processes Ming-Dou Ker, Fellow, IEEE, and

More information

Ethernet Protection A Whole Solution Han Zou, ProTek Devices

Ethernet Protection A Whole Solution Han Zou, ProTek Devices Ethernet Protection ------ A Whole Solution Han Zou, ProTek Devices Introduction: As Ethernet applications progress from 10BaseT to 10Gigabit and beyond, IC components are becoming more complicated with

More information

WITH THE continuously scaled-down CMOS technology,

WITH THE continuously scaled-down CMOS technology, 2626 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 10, OCTOBER 2012 Power-Rail ESD Clamp Circuit With Ultralow Standby Leakage Current and High Area Efficiency in Nanometer CMOS Technology Chih-Ting

More information

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features Description RailClamps are surge rated diode arrays designed to protect high speed data interfaces. The RClamp series has been specifically designed to protect sensitive components which are connected

More information

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 United States Patent (19) I I USOO5519242A 11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 54 ELECTROSTATIC DISCHARGE 5,357,126 10/1994 Jimenez... 257/173 PROTECTION CIRCUIT FOR A NMOS

More information

Conference paper ESD Design Challenges in nano-cmos SoC Design

Conference paper ESD Design Challenges in nano-cmos SoC Design Conference paper ESD Design Challenges in nano-cmos SoC Design SoC conference 2008 The Silicon Controlled Rectifier ( SCR ) is widely used for ESD protection due to its superior performance and clamping

More information

Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications

Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications University of Central Florida Electronic Theses and Dissertations Doctoral Dissertation (Open Access) Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications

More information

Operating Requirements

Operating Requirements Operating Requirements for Altera Devices January 1998, ver. 8 Data Sheet Introduction Altera devices combine unique programmable logic architectures with advanced CMOS processes to provide exceptional

More information

Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process

Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process 1050 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 4, APRIL 2003 Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process Ming-Dou Ker, Senior Member, IEEE,

More information

IN ADVANCED nanoscale CMOS technology, the electrostatic

IN ADVANCED nanoscale CMOS technology, the electrostatic IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 3, JUNE 2013 1011 High Area-Efficient ESD Clamp Circuit With Equivalent RC-Based Detection Mechanism in a 65-nm CMOS Process Chih-Ting Yeh, Student Member,

More information

WITH the migration toward shallower junctions, much

WITH the migration toward shallower junctions, much 328 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 18, NO. 2, MAY 2005 ESD Implantations for On-Chip ESD Protection With Layout Consideration in 0.18-m Salicided CMOS Technology Ming-Dou Ker, Senior

More information

Conference paper ESD Protection Solutions for High Voltage Technologies

Conference paper ESD Protection Solutions for High Voltage Technologies Conference paper ESD Protection Solutions for High Voltage Technologies EOS/ESD symposium 4 There is a trend to revive mature technologies while including high voltage options. ESD protection in those

More information

RAJIV GANDHI COLLEGE OF ENGINEERING AND TECHNOLOGY DEPARTMENT OF ECE QUESTION BANK- EDC SEMESTER - III UNIT I : SEMICONDUCTOR DIODS PART A

RAJIV GANDHI COLLEGE OF ENGINEERING AND TECHNOLOGY DEPARTMENT OF ECE QUESTION BANK- EDC SEMESTER - III UNIT I : SEMICONDUCTOR DIODS PART A RAJIV GANDHI COLLEGE OF ENGINEERING AND TECHNOLOGY DEPARTMENT OF ECE QUESTION BANK- EDC SEMESTER - III UNIT I : SEMICONDUCTOR DIODS 1. Define Electronics. 2. What is meant by forbidden energy gap. 3. Classify

More information

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 16, NO. 2, MAY 2003 319 Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology Ming-Dou Ker,

More information

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION ON-CHIP PROTECTION for electrostatic discharge (ESD) and electrical overstress (EOS) On-chip ESD protection for Internet of Things Cisco predicts that more than 50 Billion devices will be connected to

More information

High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology

High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology Philippe Renaud, Amaury Gendron, Marise Bafleur, Nicolas Nolhier To cite this version:

More information

Study Of Esd Effects On Rf Power Amplifiers

Study Of Esd Effects On Rf Power Amplifiers University of Central Florida Electronic Theses and Dissertations Masters Thesis (Open Access) Study Of Esd Effects On Rf Power Amplifiers 2011 Raju, Divya Narasimha University of Central Florida Find

More information

Microelectronics Reliability 47 (2007) Introductory Invited Paper

Microelectronics Reliability 47 (2007) Introductory Invited Paper Microelectronics Reliability 47 (2007) 27 35 Introductory Invited Paper Overview on ESD protection design for mixed-voltage interfaces with high-voltage-tolerant power-rail ESD clamp circuits in low-voltage

More information

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Norman Chang, Ting-Sheng Ku, Jai Pollayil 26 th International Conference on VLSI January 2013

More information

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.5 Gbps 2.5 V/3.3 V Supply

More information

A floating gate design for electrostatic discharge protection circuits

A floating gate design for electrostatic discharge protection circuits ARTICLE IN PRESS INTEGRATION, the VLSI journal 40 (2007) 161 166 www.elsevier.com/locate/vlsi A floating gate design for electrostatic discharge protection circuits Hung-Mu Chou a, Jam-Wen Lee b, Yiming

More information

INPAQ Global RF/Component Solutions

INPAQ Global RF/Component Solutions TVL SC7 4 AC Specification Product Name Series Part No Package Size Transient Voltage Suppressor TVS Series TVL SC7 4 AC SC7-6L TVL SC7 4 AC Engineering Specification 1. Scope TVL SC7 4 AC s are TVS arrays

More information

Designing Shallow Trench Isolation Diodes as Electrostatic Discharge Protection for Applications in Deep Submicron CMOS Technology

Designing Shallow Trench Isolation Diodes as Electrostatic Discharge Protection for Applications in Deep Submicron CMOS Technology Designing Shallow Trench Isolation Diodes as Electrostatic Discharge Protection for Applications in Deep Submicron CMOS Technology by Thomas Chung Kin Au B.A. Sc, University of Waterloo, 2010 Thesis Submitted

More information

Texas Instruments Solution for Undershoot Protection for Bus Switches

Texas Instruments Solution for Undershoot Protection for Bus Switches Application Report SCDA007 - APRIL 2000 Texas Instruments Solution for Undershoot Protection for Bus Switches Nadira Sultana and Chris Graves Standard Linear & Logic ABSTRACT Three solutions for undershoot

More information

PAPER Impedance-Isolation Technique for ESD Protection Design in RF Integrated Circuits

PAPER Impedance-Isolation Technique for ESD Protection Design in RF Integrated Circuits IEICE TRANS. ELECTRON., VOL.E92 C, NO.3 MARCH 2009 341 PAPER Impedance-Isolation Technique for ESD Protection Design in RF Integrated Circuits Ming-Dou KER a), Member and Yuan-Wen HSIAO, Nonmember SUMMARY

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

TF10CP02 / TF10CP Gbps 2x2 LVDS Crosspoint Switches. Features. Description. Applications. Function Diagram. Ordering Information.

TF10CP02 / TF10CP Gbps 2x2 LVDS Crosspoint Switches. Features. Description. Applications. Function Diagram. Ordering Information. Features DC to 1.5 Gbps low jitter, low skew, low power operation Pin configurable, fully differential, non-blocking architecture eases system design and PCB layout On-chip 100W input termination minimizes

More information

AOZ8101. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application

AOZ8101. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The AOZ8101 is a transient voltage suppressor array designed to protect high speed data lines from Electro Static Discharge (ESD) and lightning.

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology

Basic Sample and Hold Element. Prof. Paul Hasler Georgia Institute of Technology Basic Sample and Hold Element Prof. Paul Hasler Georgia Institute of Technology Sample and Hold Elements Sample and Hold Elements Amplitude (Hold) (Sample) (Hold) Time Sample and Hold Elements Amplitude

More information

ELECTROSTATIC discharge (ESD) phenomenon continues

ELECTROSTATIC discharge (ESD) phenomenon continues IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 27, NO. 3, SEPTEMBER 2004 445 ESD Protection Design to Overcome Internal Damage on Interface Circuits of a CMOS IC With Multiple Separated

More information

Tackling the challenges of System level ESD: from efficient ICs ESD protection to system level predictive modeling

Tackling the challenges of System level ESD: from efficient ICs ESD protection to system level predictive modeling Author manuscript, published in "Taiwan ESD and Reliability Conference, Hsinchu : Taïwan (2013)" Tackling the challenges of System level ESD: from efficient ICs ESD protection to system level predictive

More information

AQ1003 Series - 30pF 30kV Unidirectional Discrete TVS

AQ1003 Series - 30pF 30kV Unidirectional Discrete TVS General Purpose ESD Protection - SP003 AQ003 Series AQ003 Series - 30pF 30kV Unidirectional Discrete TVS RoHS Pb GREEN Description This diode is fabricated in a proprietary silicon avalanche technology

More information

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Ming-Dou Ker and Bing-Jye Kuo Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics,

More information

SP1050 Series for Power-over-Ethernet PSE Protection

SP1050 Series for Power-over-Ethernet PSE Protection SP1050 Series for Power-over-Ethernet PSE Protection RoHS Pb GREEN Description The SP1050-04BTG provides over-voltage protection for Power-over-Ethernet PSE equipment in a space saving SOIC-8 package.

More information

HM9708 HM9708. Battery-Powered Equipment Motherboard USB Power Switch USB Device Power Switch Hot-Plug Power Supplies Battery-Charger Circuits DC+ VIN

HM9708 HM9708. Battery-Powered Equipment Motherboard USB Power Switch USB Device Power Switch Hot-Plug Power Supplies Battery-Charger Circuits DC+ VIN 200mΩ Power Distribution Switches Features 200mΩ Typ. High-Side MOSFET 0.8A Current Limit (V IN =3.0V) Wide Input Voltage Range: 2V ~ 5.5V Soft Start Thermal Protection Small SOT-23-5 Package Minimizes

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F1 - Power devices: diodes» Switches» pn Junction» Diode models» Dynamic behavior» Zener diodes AY 2015-16 26/04/2016-1

More information

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The is a transient voltage suppressor array designed to protect high speed data lines from Electro Static Discharge (ESD) and lightning. This device

More information

Investigation and Design of On-Chip Power-Rail ESD Clamp Circuits Without Suffering Latchup-Like Failure During System-Level ESD Test

Investigation and Design of On-Chip Power-Rail ESD Clamp Circuits Without Suffering Latchup-Like Failure During System-Level ESD Test IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 11, NOVEMBER 2008 2533 Investigation and Design of On-Chip Power-Rail ESD Clamp Circuits Without Suffering Latchup-Like Failure During System-Level ESD

More information

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology TSMC Open Innovation Platform 2011 Applications like motor control, power management and conversion,

More information

Prepared by: Jim Lepkowski ON Semiconductor

Prepared by: Jim Lepkowski ON Semiconductor Application Hints for Transient Voltage Suppression Diode Circuits Prepared by: Jim Lepkowski ON Semiconductor APPLICATION NOTE INTRODUCTION Transient Voltage Suppression (TVS) diodes provide a simple

More information

(12) Patent Application Publication (10) Pub. No.: US 2012/ A1

(12) Patent Application Publication (10) Pub. No.: US 2012/ A1 US 20120162831A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2012/0162831 A1 Wang et al. (43) Pub. Date: Jun. 28, 2012 (54) ESD PROTECTION CIRCUIT FOR (22) Filed: Dec. 26,

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES 1. Ming-Dou Ker, Senior Member, IEEE, Kun-Hsien Lin, Student Member, IEEE, and Chien-Hui Chuang IEEE

IEEE TRANSACTIONS ON ELECTRON DEVICES 1. Ming-Dou Ker, Senior Member, IEEE, Kun-Hsien Lin, Student Member, IEEE, and Chien-Hui Chuang IEEE TRANSACTIONS ON ELECTRON DEVICES 1 On-Chip ESD Protection Design With Substrate-Triggered Technique for Mixed-Voltage I/O Circuits in Subquarter-Micrometer CMOS Process Ming-Dou Ker, Senior Member,, Kun-Hsien

More information

Figure 1 Typical Application Circuit

Figure 1 Typical Application Circuit 4-CH CAPACITIVE TOUCH SENSOR WITH AUTO CALIBRATION August 2015 GENERAL DESCRIPTION The IS31SE5104 is a low power, fully integrated 4-channel solution for capacitive touch button applications. The chip

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

Protecting Mixed- Signal Technologies Against Electrostatic Discharges: Challenges and Protection Strategies from Component to System

Protecting Mixed- Signal Technologies Against Electrostatic Discharges: Challenges and Protection Strategies from Component to System Protecting Mixed- Signal Technologies Against Electrostatic Discharges: Challenges and Protection Strategies from Component to System Marise Bafleur, Fabrice Caignet, Nicolas Nolhier, Patrice Besse, Jean-

More information

MAX14653/MAX14654/ MAX14655/MAX High-Current Overvoltage Protectors with Adjustable OVLO

MAX14653/MAX14654/ MAX14655/MAX High-Current Overvoltage Protectors with Adjustable OVLO EVALUATION KIT AVAILABLE MAX14653/MAX14654/ General Description The MAX14653/MAX14654/ overvoltage protection devices feature a low 38mΩ (typ) R ON internal FET and protect low-voltage systems against

More information

THE trend of IC technology is toward smaller device dimension

THE trend of IC technology is toward smaller device dimension 24 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 4, NO. 1, MARCH 2004 Abnormal ESD Failure Mechanism in High-Pin-Count BGA Packaged ICs Due to Stressing Nonconnected Balls Wen-Yu Lo and Ming-Dou

More information

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors 1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors CM1210 Features 1,2,4 and 8 channels of ESD protection Very low loading capacitance (1.0pF typical) ±6 kv ESD protection per channel (IEC 61000-4-2

More information

ADVANCED ESD PROTECTION

ADVANCED ESD PROTECTION ADVANCED ESD PROTECTION June 8, 21 Prof. Albert Wang Dept. of Electrical Engineering University of California 417 EBU2, Riverside, CA 92521 Email: aw@ee.ucr.edu Tel: (951) 827-2555 http://www.ee.ucr.edu/~aw

More information