Optical SerDes Test Interface for High-Speed and Parallel Testing

Size: px
Start display at page:

Download "Optical SerDes Test Interface for High-Speed and Parallel Testing"

Transcription

1 June 7-10, 2009 San Diego, CA SerDes Test Interface for High-Speed and Parallel Testing Sanghoon Lee, Ph. D Sejang Oh, Kyeongseon Shin, Wuisoo Lee Memory Division, SAMSUNG ELECTRONICS

2 Why Interface? High speed up to 10GHz, Significant scalability Smaller cross-sectional area than electrical cable or trace. [T. Okayasu, et al., JLT, vol. 22, no. 9, Sept. 2004] Immune to electromagnetic interference Impedance matching not needed Electrical Path Electrical signal Electrical stub Reflection 1 2 Path signal coupler Opt. RX Opt. RX 1 2 IEEE SW Test Workshop 2/22

3 Contents 1. Introduction 2. Three optical Issue in Test Interface 3. Proposed SerDes Test System 4. 3D Electromagnetic Board Simulation 5. Measurement and Analysis 6. Summary IEEE SW Test Workshop 3/22

4 Introduction Basic concept Interface is applied onto PCB of Probe Card For what? More high-speed Test Scalability for expansion of s resource Good PCB noise immunity Test Interface Main Frame PCB Probe Card (Wafer) Needle IEEE SW Test Workshop 4/22

5 Issue in Test Interface (1) Many of optical modules required ( TX/RX + Fiber) Total of about 80 optical modules including transmitter and receiver when evaluate a single memory chip with only write operation. Solution: SerDes (Serialization/De-serialization) technique which combines 8 electrical channels into 1 channel CLK RAS CAS A0 A1 DQ0 DQ1 SerDes Parallel to Serial TX RX SerDes TX RX 4 4 Serial fiber to Parallel CLK RAS CAS A0 A1 DQ0 DQ1 IEEE SW Test Workshop 5/22

6 Issue in Test Interface (2) Interface mismatching Different interface standards between / and TX/RX Signal Reflection and degradation Solution: Signal level conversion using FPGA logic for the same interface standards FPGA Reflection TX RX FPGA Reflection SSTL SSTL CML CML CML CML SSTL SSTL SSTL: Stub No Series Reflection Terminated Logic (+ 0.8 V ~ No V) Reflection CML : Current Mode Logic (+ 0.6 V ~ 0.6 V) IEEE SW Test Workshop 6/22

7 Issue in Test Interface (3) Signal skew in multi-channel interconnects Impossible to calibrate skews because the optical modules inserted into the electrical path. Solution: Simple skew adjustment technique in optical & electrical channel by using FPGA delay FPGA TX RX FPGA Delay Control Channel Channel Skew-free Electrical Channel Skew-free Skew Skew Delay Control IEEE SW Test Workshop 7/22

8 Proposed SerDes Interface Probe Card PCB Link FPGA _ FPGA _ Splitter De- De- SSTL18 CML CML SSTL18 [8 bit Serialization ] [8 bit De-serialization ] SerDes IEEE SW Test Workshop 8/22

9 Details [FPGA_ ] -DELAY FPGA Fabric SERDES 1 1 DQ 0_W DQ 1_W DQ 2_W DQ 3_W DQ_R DQ 0 DQ 1 DQ 2 DQ 3 IOB IOB IOB IOB DQ 0_W DQ 1_W DQ 2_W DQ 3_W DQ_R Delay Delay Chain Chain Delay Delay Chain Chain DQ_R (IDDR) (IDDR) (FIFO) (FIFO) (ODDR) (ODDR) (IDDR) (IDDR) (FIFO) (FIFO) (ODDR) (ODDR) DQ_R -DELAY FPGA Fabric DQ 0_R DQ 0_R DQ_R DQ 1_R DQ 1_R DQ 2_R DQ 3_R DQ 0_W DQ 1_W DQ 2_W DQ 3_W DQ 0_W DQ 1_W DQ 2_W DQ 3_W DQ 2_R DQ 3_R DQ 0_W DQ 1_W DQ 2_W DQ 3_W DQ 0_W DQ 1_W DQ 2_W DQ 3_W DQ 0_W DQ 1_W DQ 2_W DQ 3_W OUTPUT DQ_W OUTPUT SERDES SERDES DQ_R INPUT INPUT SERDES SERDES SERDES DQ_R OUTPUT OUTPUT SERDES SERDES DQ_W INPUT INPUT SERDES SERDES TX TX RX RX TX TX RX RX Fiber 1 4 Splitter [FPGA_ ] IEEE SW Test Workshop 9/22

10 3D Electromagnetic Board Simulation IEEE SW Test Workshop 10/22

11 Serialized 2.5 Gbps Performance FPGA Input Signal (2.5 Gbps) Differential Line Back-drilling at all DQ via channel 95 % PCB fiber 0 m1 RX FPGA DDR2 db(s(1,2)) db(s(1,1)) m1 freq= 1.250GHz db(s(1,2))= freq, GHz Voltage of 7 % decreases IEEE SW Test Workshop 11/22

12 Write Signal 125 MHz Performance DDR2 Input Signal (125 MHz) Single ended 98 % PCB fiber RX FPGA DDR m1 db(s(1,2)) db(s(1,1)) freq, GHz m1 freq= 125.0MHz db(s(1,2))= Voltage of 2 % decreases IEEE SW Test Workshop 12/22

13 Measurement and Analysis IEEE SW Test Workshop 13/22

14 ZIF Connector Structure & Operation Probe Card Main PCB FPGA TX Fiber Splitter RX FPGA DRAM Package Read Path Multi-Channel Module (Transmitter) Multi-Channel Module (Receiver) 1 4 Splitter Write Path DDR2 Package Write/Read Operation FPGA IEEE SW Test Workshop 14/22

15 Experimental Setup Items TX/RX Module Resource Expansion Transmission Test Condition Specification DDR2-533 (60 BOC)_4ea 5 ea (12 optical channel / Module) Bandwidth: 2.7 Gbps 4 Expansion using Splitter SerDes (2.5 Gbps) X-March Pattern trcd = 4 CL = 4 Speed = 125 MHz (8 ns) Background Write/Read IEEE SW Test Workshop 15/22

16 Output Write Signal (125 MHz) _FPGA _FPGA Link De- De- IEEE SW Test Workshop 16/22

17 Input Signal into FPGA _ (125 MHz) _FPGA _FPGA Link De- De- Active Write Write Out Active Write Write Out Precharge Precharge - The same logic compared with function logic IEEE SW Test Workshop 17/22

18 Received Serialized Signal (2.5 Gbps) _FPGA _FPGA Link De- De- Using Oscilloscope 2.5 Gbps Amplitude = 270 mv Jitter = 54 ps tr/tf = 180 ps/164 ps IEEE SW Test Workshop 18/22

19 Input Signal at FPGA _ (125 MHz) _FPGA _FPGA Link De- De- [ Skew Control using FPGA IO-delay ] CLK vs. CAS CLK = 2 ns Delay CLK vs. CAS (skew-free) DQS vs. DQ_7 DQS = 2 ns Delay DQS vs. DQ_7 (skew-free) IEEE SW Test Workshop 19/22

20 Read Signal into Comparator _FPGA _FPGA Link De- De- All good read signal with synchronous operation in front of. IEEE SW Test Workshop 20/22

21 Hardware Performance Type Performance Conventional System SerDes System Advantage # of fiber for 1 40 ch 5 ch 1/8 reduction # of Module (TX and RX) 80 ea (only write-mode) 2 ea (Parallel modules used) 1/40 reduction channel expansion Not expanded by Splitter 4 times expansion IEEE SW Test Workshop 21/22

22 Summary signal 4 times splitting scheme and SerDes techniques for a multi-parallel high speed memory test An actual write/read optical memory test operation fiber channels of 87 % and module of 95 % are reduced dramatically, compared to a conventional optical interface Further, this scheme, with proper modifications and optimizations in terms of size and power, might be applied for CPU-memory optical interconnects in the future computing environment. IEEE SW Test Workshop 22/22

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

PCI Express 4.0. Electrical compliance test overview

PCI Express 4.0. Electrical compliance test overview PCI Express 4.0 Electrical compliance test overview Agenda PCI Express 4.0 electrical compliance test overview Required test equipment Test procedures: Q&A Transmitter Electrical testing Transmitter Link

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

DensiShield Cable Assembly. InfiniBand Standard CX4 Standard

DensiShield Cable Assembly. InfiniBand Standard CX4 Standard DensiShield Cable Assembly InfiniBand Standard CX4 Standard SI-2008-06-001 Revision 1 August-21-2008 Introduction The purpose of these tests was to show compliance of FCI s 26 AWG DensiShield cable assemblies

More information

Enabling MIPI Physical Layer Test

Enabling MIPI Physical Layer Test Enabling MIPI Physical Layer Test High Speed Test and Characterization High Speed Digital Test The Explosion of Functions within Mobile Devices Multiple RF functions GPS Bluetooth WCDMA GSM WLAN FM Multiple

More information

The Advanced Cantilever Probe Card with High Bandwidth (>3GHz) and Experimental Result

The Advanced Cantilever Probe Card with High Bandwidth (>3GHz) and Experimental Result Morgan Ku, Phil Hsieh, Jason Ho, Sobers Chang, Seenew Lai, Dick Ho MJC Probe Inc. The Advanced Cantilever Probe Card with High Bandwidth (>3GHz) and Experimental Result June 8-11, 8 2008 San Diego, CA

More information

PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers

PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers PCI Express 3.0 Characterization, Compliance, and Debug for Signal Integrity Engineers - Transmitter Testing - Receiver Testing - Link Equalization Testing David Li Product Marketing Manager High Speed

More information

Designing and Verifying Future High Speed Busses

Designing and Verifying Future High Speed Busses Designing and Verifying Future High Speed Busses Perry Keller Agilent Technologies Gregg Buzard December 12, 2000 Agenda Bus Technology Trends and Challenges Making the transition: Design and Test of DDR

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

Agenda TDR Measurements Using Real World Products

Agenda TDR Measurements Using Real World Products Agenda TDR Measurements Using Real World Products The Case for using both TDR and S-parameters Device Package Analysis - Measure Impedance -C-self Characterizing Device Evaluation Test board Measure Differential

More information

SAS-2 Zero-Length Test Load Characterization (07-013r7) Barry Olawsky Hewlett Packard (8/2/2007)

SAS-2 Zero-Length Test Load Characterization (07-013r7) Barry Olawsky Hewlett Packard (8/2/2007) SAS-2 Zero-Length Test Load Characterization (07-013r7) Barry Olawsky Hewlett Packard (8/2/2007) 07-013r7 SAS-2 Zero-Length Test Load Characterization 1 Zero-Length Test Load Provides ideal connection

More information

High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface. Outline

High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface. Outline High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface Hideki Osaka Hitachi Ltd., Kanagawa, Japan oosaka@sdl.hitachi.co.jp Toyohiko Komatsu Hitachi Ltd., Kanagawa, Japan

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

InfiniBand FDR 56-Gbps QSFP+ Active Optical Cable PN: WST-QS56-AOC-Cxx

InfiniBand FDR 56-Gbps QSFP+ Active Optical Cable PN: WST-QS56-AOC-Cxx Data Sheet PN: General Description WaveSplitter s Quad Small Form-Factor Pluggable Plus (QSFP+) active optical cables (AOC) are highperformance active optical cable with bi-directional signal transmission

More information

Mobile DRAM s Frequently violated parameters Application Note

Mobile DRAM s Frequently violated parameters Application Note SEC-Mobile DRAM Mobile DRAM s Frequently violated parameters Application Note Version 1.0 May 2009 Samsung Electronics Copyright c 2009 Samsung Electronics Co.,LTD. Copyright 2009 Samsung Electronics Co,

More information

Application of High Speed Serial Data Transmission System in Remote Sensing Camera

Application of High Speed Serial Data Transmission System in Remote Sensing Camera MATEC Web of Conferences 114, 0200 (2017) DOI: 10.101/ matecconf/20171140200 Application of High Speed Serial Data Transmission System in Remote Sensing Camera Zhang Ye 1,a, He Qiangmin 1 and Pan Weijun

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

1:4 LVPECL/CML FANOUT BUFFER WITH INTERNAL TERMINATION

1:4 LVPECL/CML FANOUT BUFFER WITH INTERNAL TERMINATION 1:4 LVPECL/CML FANOUT BUFFER WITH TERNAL TERMATION Precision Edge SY58020/21/22U EVALUATION BOARD FEATURES DESCRIPTION Precision, fully differential 1:4 fanout buffer family SY58020U 6GHz any diff. input-to-cml

More information

N1014A SFF-8431 (SFP+)

N1014A SFF-8431 (SFP+) DATA SHEET N1014A SFF-8431 (SFP+) Compliance and Debug Application for 86100D DCA-X and N109X DCA-M Oscilloscopes Be Confident With Compliant Measurements Easy-to-use oscilloscope application that lets

More information

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET The InterOperability Laboratory MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET Abstract: This document serves as the primary documentation for the MIPI D-PHY Reference Termination

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

Agilent Technologies Advanced Signal Integrity

Agilent Technologies Advanced Signal Integrity Agilent Technologies Advanced Signal Integrity Measurements for Next Generation High Speed Serial Standards Last Update 2012/04/24 (YS) Appendix VNA or TDR Scope? ENA Option TDR Overview USB 3.0 Cable/Connector

More information

GPP SRC 10Gbps SFP+ Optical Transceiver, 300m Reach

GPP SRC 10Gbps SFP+ Optical Transceiver, 300m Reach Features GPP-85192-SRC 10Gbps SFP+ Optical Transceiver, 300m Reach Optical interface compliant to IEEE 802.3ae 10GBASE-SR Electrical interface compliant to SFF-8431 Hot Pluggable 850nm VCSEL transmitter,

More information

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

AOZ8804A. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications

AOZ8804A. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications Ultra-Low Capacitance TS Diode General Description The is a transient voltage suppressor array designed to protect high speed data lines such as HDMI, USB 3.0, MDDI, SATA, and Gigabit thernet from damaging

More information

Technical Article MS-2442

Technical Article MS-2442 Technical Article MS-2442. JESD204B vs. Serial LVDS Interface Considerations for Wideband Data Converter Applications by George Diniz, Product Line Manager, Analog Devices, Inc. Some key end-system applications

More information

ANTC205. Introduction

ANTC205. Introduction ANTC205 Introduction The JitterBlocker takes very noisy and jittery clocks and cleans out all the deterministic and excessive jitter. It can handle thousands of picoseconds of period jitter at its input

More information

MC Channel FPGA Bridge IC. for. MIPI D-PHY Systems and SLVS to LVDS Conversion PRELIMINARY DATASHEET. Version August 2014.

MC Channel FPGA Bridge IC. for. MIPI D-PHY Systems and SLVS to LVDS Conversion PRELIMINARY DATASHEET. Version August 2014. MC20901 5 Channel FPGA Bridge IC for MIPI D-PHY Systems and SLVS to LVDS Conversion PRELIMINARY DATASHEET Version 1.06 August 2014 Meticom GmbH Meticom GmbH Page 1 of 17 Revision History MC20901 Version

More information

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD 4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition 78.B1GE3.AFF0C 12.8GB/sec 1600Mbps

More information

Performance Evolution of DDR3 SDRAM Controller for Communication Networks

Performance Evolution of DDR3 SDRAM Controller for Communication Networks Performance Evolution of DDR3 SDRAM Controller for Communication Networks U.Venkata Rao 1, G.Siva Suresh Kumar 2, G.Phani Kumar 3 1,2,3 Department of ECE, Sai Ganapathi Engineering College, Visakhaapatnam,

More information

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram is ECC Registered Dual-Die DIMM with 1.25inch (30.00mm) height based on DDR2 technology. DIMMs are available as ECC modules in 256Mx72 (2GByte) organization and density,

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

HDMI Solution. U N Vasudev - Strategic Product Planner

HDMI Solution. U N Vasudev - Strategic Product Planner HDMI Solution U N Vasudev - u.n.vasudev@tek.com Strategic Product Planner Agenda HDMI Overview and updates Additional resources HDMI High Definition Multimedia Interface HDMI 2.0 Testing Customer presentation

More information

2GB DDR3 SDRAM SODIMM with SPD

2GB DDR3 SDRAM SODIMM with SPD 2GB DDR3 SDRAM SODIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition Number of Rank 78.A2GC6.AF1 10.6GB/sec 1333Mbps

More information

PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors

PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors June 24, 2010 PHY-Less Ethernet Implementation Using Freescale Power Architecture Based Microprocessors FTF-NET-F0568 Patrick B. Billings NMG, NPD Applications Engineering and VortiQa are trademarks of

More information

DDR Support for Tektronix TLA Logic Analyzers

DDR Support for Tektronix TLA Logic Analyzers DDR Support for Tektronix TLA Logic Analyzers What is DDR? DDR stands for Double Data Rate SDRAM. It is the follow-on to conventional PC100 / PC133 SDRAM. The biggest difference is that data is clocked

More information

OP5600 & OP7000. High performance Real-Time simulators. Yahia Bouzid 25 th June2013

OP5600 & OP7000. High performance Real-Time simulators. Yahia Bouzid 25 th June2013 OP5600 & OP7000 High performance Real-Time simulators Yahia Bouzid 25 th June2013 Contents Model-based design concept Applications Rapid Control Prototyping Hardware in-the-loop OPAL-RT Real-Time simulators

More information

Multi-Drop LVDS with Virtex-E FPGAs

Multi-Drop LVDS with Virtex-E FPGAs Multi-Drop LVDS with Virtex-E FPGAs XAPP231 (Version 1.0) September 23, 1999 Application Note: Jon Brunetti & Brian Von Herzen Summary Introduction Multi-Drop LVDS Circuits This application note describes

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

MAX 10 FPGA Signal Integrity Design Guidelines

MAX 10 FPGA Signal Integrity Design Guidelines 2014.12.15 M10-SIDG Subscribe Today s complex FPGA system design is incomplete without addressing the integrity of signals coming in to and out of the FPGA. Simultaneous switching noise (SSN) often leads

More information

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices 4. Selectable I/O Standards in Stratix II and Stratix II GX Devices SII52004-4.6 Introduction This chapter provides guidelines for using industry I/O standards in Stratix II and Stratix II GX devices,

More information

SO-QSFP-LR4-20. QSFP, 40GBase-LR, CWDM, SM, DDM, 10dB, 20km OVERVIEW PRODUCT FEATURES APPLICATIONS ORDERING INFORMATION DATASHEET 4.

SO-QSFP-LR4-20. QSFP, 40GBase-LR, CWDM, SM, DDM, 10dB, 20km OVERVIEW PRODUCT FEATURES APPLICATIONS ORDERING INFORMATION DATASHEET 4. SO-QSFP-LR4-20 QSFP, 40GBase-LR, CWDM, SM, DDM, 10dB, 20km OVERVIEW The SO-QSFP-LR4-20 is a transceiver module designed for 20km optical communication applications. The design is compliant to 40GBASE-LR4

More information

AOZ8809ADI. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications

AOZ8809ADI. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications Ultra-Low Capacitance TVS Diode General Description The AOZ889ADI is a transient voltage suppressor array designed to protect high speed data lines such as HDMI 1.4/2., USB 3./3.1, MDDI, SATA, and Gigabit

More information

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices.

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices. Using HSDI in Source- Synchronous Mode in Mercury Devices December 2002, ver. 1.1 Application Note 159 Introduction High-speed serial data transmission has gained increasing popularity in the data communications

More information

DisplayPort 1.4 Webinar

DisplayPort 1.4 Webinar DisplayPort 1.4 Webinar Test Challenges and Solution Yogesh Pai Product Manager - Tektronix 1 Agenda DisplayPort Basics Transmitter Testing Challenges DisplayPort Type-C Updates Receiver Testing Q and

More information

Serial Link Analysis and PLL Model

Serial Link Analysis and PLL Model 25. July 2007 Serial Link Analysis and PLL Model September 11, 2007 Asian IBIS Summit, Beijing China Huang Chunxing huangchunxing@huawei.com www.huawei.com HUAWEI TECHNOLOGIES Co., Ltd. Agenda High-speed

More information

PCI Express 1.0a and 1.1 Add-In Card Transmitter Testing

PCI Express 1.0a and 1.1 Add-In Card Transmitter Testing Abstract PCI Express 1.0a and 1.1 Add-In Card Transmitter Testing Joan Gibson November 2006 SR-TN062 Add-in cards designed for PCI Express require numerous tests to assure inter-operability with different

More information

PCI Express Link Equalization Testing 서동현

PCI Express Link Equalization Testing 서동현 PCI Express Link Equalization 서동현 Application Engineer January 19th, 2016 Agenda Introduction Page 2 Dynamic Link Equalization TX/RX Link Equalization Tests Test Automation RX Stress Signal Calibration

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester DDR/DDR Ⅱ/DDR Ⅲ and DDRⅡ controllers ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr High Speed

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

Successfully negotiating the PCI EXPRESS 2.0 Super Highway Towards Full Compliance

Successfully negotiating the PCI EXPRESS 2.0 Super Highway Towards Full Compliance the PCI EXPRESS 2.0 Super Highway Towards Full Compliance Page 1 Agenda Introduction PCIe 2.0 changes from 1.0a/1.1 Spec 5GT/s Challenges Error Correction Techniques Test tool and fixture changes Agilent

More information

MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA

MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA 2015.12.23 MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA AN-754 Subscribe Introduction to MIPI D-PHY The Mobile Industry Processor Interface (MIPI) is an industry consortium

More information

Raj Kumar Nagpal, R&D Manager Synopsys. Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY

Raj Kumar Nagpal, R&D Manager Synopsys. Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY Raj Kumar Nagpal, R&D Manager Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY Agenda Design motivation MIPI D-PHY evolution Summary of MIPI D-PHY specification MIPI channel evolution

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

A NEW TIMING CALIBRATION METHOD FOR SWITCHED CAPACITOR ARRAY CHIPS TO ACHIEVE SUB-PICOSECOND RESOLUTIONS

A NEW TIMING CALIBRATION METHOD FOR SWITCHED CAPACITOR ARRAY CHIPS TO ACHIEVE SUB-PICOSECOND RESOLUTIONS Stefan Ritt, Paul Scherrer Institute, Switzerland A NEW TIMING CALIBRATION METHOD FOR SWITCHED CAPACITOR ARRAY CHIPS TO ACHIEVE SUB-PICOSECOND RESOLUTIONS 13 March 2014 Workshop on Picosecond Photon Sensors,

More information

Tektronix Innovation Forum

Tektronix Innovation Forum Tektronix Innovation Forum Enabling Innovation in the Digital Age DisplayPort 1.2 Spec Updates and overview of Physical layer conformance testing Presenter: John Calvin DisplayPort 1.2 Spec Updates Agenda

More information

ADQVD1B16. DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits)

ADQVD1B16. DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits) General Description ADQVD1B16 DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits) The ADATA s ADQVD1B16 is a 256Mx64 bits 2GB(2048MB) DDR2-800(CL4) SDRAM EPP memory module, The SPD is programmed to

More information

1-Fiber Detachable DVI module, DVFX-110

1-Fiber Detachable DVI module, DVFX-110 1-Fiber Detachable DVI module, DVFX-110 DATA SHEET Contents Description Features Applications Technical Specifications Functions Drawing Fiber Connection DVI Pin Description OPTICIS HQ Opticis Co., Ltd.

More information

1-Fiber Detachable DVI module, DVFX-110

1-Fiber Detachable DVI module, DVFX-110 1-Fiber Detachable DVI module, DVFX-110 DATA SHEET Contents Description Features Applications Technical Specifications Functions Drawing Fiber Connection DVI Pin Description OPTICIS HQ Opticis Co., Ltd.

More information

June 6 to 9, 2010 San Diego, CA Probe Cards with Modular Integrated Switching Matrices

June 6 to 9, 2010 San Diego, CA Probe Cards with Modular Integrated Switching Matrices June 6 to 9, 2010 San Diego, CA Probe Cards with Modular Integrated Switching Matrices Authors: Evan Grund Jay Thomas Agenda Review of Traditional Scribeline Parametric IV and CV Probe Card Requirements

More information

Network Media and Layer 1 Functionality

Network Media and Layer 1 Functionality Network Media and Layer 1 Functionality BSAD 146 Dave Novak Dean, Chapter 3, pp 93-124 Objectives Introduction to transmission media Basic cabling Coaxial Twisted pair Optical fiber Basic wireless (NIC)

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

Type-C Technologies and Solutions

Type-C Technologies and Solutions Type-C Technologies and Solutions 2016.12.20 Gary Hsiao Project Manager Gary_Hsiao@keysight.com Agenda Type-C Overview Type-C PD Solutions USB 3.1 Simulation Solutions USB 3.1 TX/RX Solutions USB 3.1 Cable/Connector

More information

Cisco Compact EGC Segmentable Node A90200

Cisco Compact EGC Segmentable Node A90200 Cisco Compact EGC Segmentable Node A90200 The Cisco Compact EGC Segmentable Node A90200 is specifically designed to meet the growing need for network segmentation. The node provides advanced features and

More information

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim Rod Strange Business Development Manager Teraspeed Consulting A Division of Samtec April 2016 Outline Objective/Goal DDR4 vs. DDR3 from the SI/PI

More information

Achieving PCI Express Compliance Faster

Achieving PCI Express Compliance Faster Achieving PCI Express Compliance Faster Agenda PCIe Overview including what s new with Gen4 PCIe Transmitter Testing PCIe Receiver Testing Intro to Tektronix s PCIe Tx and Rx Test Solution PCIe Market

More information

Instruction Manual RR-915C Video Receiver With Bi-directional Multi-Protocol Data And Bi-directional Contact Closure

Instruction Manual RR-915C Video Receiver With Bi-directional Multi-Protocol Data And Bi-directional Contact Closure Instruction Manual RR-915C Video Receiver With Bi-directional Multi-Protocol Data And Bi-directional Contact Closure Copyright 2012, American Fibertek, Inc. 0812JPK INSTALLATION AND OPERATION INSTRUCTIONS

More information

Validating Next Generation HDMI and MHL Interfaces. U N Vasudev - Strategic Product Planner

Validating Next Generation HDMI and MHL Interfaces. U N Vasudev - Strategic Product Planner Validating Next Generation HDMI and MHL Interfaces U N Vasudev - u.n.vasudev@tek.com Strategic Product Planner Agenda HDMI Overview and updates MHL Overview and updates Tektronix Solution overview Additional

More information

DDR3 DIMM 1867 Interposer For use with Agilent Logic Analyzers

DDR3 DIMM 1867 Interposer For use with Agilent Logic Analyzers DDR3 DIMM 1867 Interposer For use with Agilent Logic Analyzers DDR3 1867 MT/s bus analysis Supports Agilent 16900-series logic analyzers Includes protocol-decode software, probe configuration software,

More information

AN 754: MIPI D-PHY Solution with Passive Resistor Networks in Intel Low-Cost FPGAs

AN 754: MIPI D-PHY Solution with Passive Resistor Networks in Intel Low-Cost FPGAs AN 754: MIPI D-PHY Solution with Passive Resistor Networks in Intel Low-Cost FPGAs Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents AN 754: MIPI D-PHY Solution with Passive

More information

CPU. PCIe. Link. PCIe. Refclk. PCIe Refclk. PCIe. PCIe Endpoint. PCIe. Refclk. Figure 1. PCIe Architecture Components

CPU. PCIe. Link. PCIe. Refclk. PCIe Refclk. PCIe. PCIe Endpoint. PCIe. Refclk. Figure 1. PCIe Architecture Components AN562 PCI EXPRESS 3.1 JITTER REQUIREMENTS 1. Introduction PCI Express () is a serial point-to-point interconnect standard developed by the Peripheral Component Interconnect Special Interest Group (PCI-SIG).

More information

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Application Note QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Copyrights and Trademarks Copyright 2004 Samtec,

More information

Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks

Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks O. Liboiron-Ladouceur 1, C. Gray 2, D. Keezer 2 and K. Bergman 1 1 Department of Electrical Engineering,

More information

SO-QSFP-SR-BD QSFP+, 40G, BIDI, duplex MM, LC

SO-QSFP-SR-BD QSFP+, 40G, BIDI, duplex MM, LC SO-QSFP-SR-BD QSFP+, 40G, BIDI, duplex MM, LC FEATURES: Compliant to the 40GbE XLPPI electrical specification per IEEE 802.3ba-2010 Compliant to QSFP+ SFF-8436 Specification Aggregate bandwidth of > 40Gbps

More information

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards White Paper ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards Sidhartha Mohanty and Fred Koons Lattice Semiconductor Corporation October 2003 Bringing the Best

More information

USB RS-485/422 Communication adapter virtual serial port ELO E211. Operation manual

USB RS-485/422 Communication adapter virtual serial port ELO E211. Operation manual USB RS-485/422 Communication adapter virtual serial port Virtual IDLE ECHO ON OFF COM to Fiber Optics through USB ELO E211 Operation manual 2 ELOE211ZKE001 1.0 Introduction 4 1.1 Use of the converter 4

More information

Breakthrough Insight into DDR4/LPDDR4 Memory Greater Than 2400 Mb/s

Breakthrough Insight into DDR4/LPDDR4 Memory Greater Than 2400 Mb/s Breakthrough Insight into DDR4/LPDDR4 Memory Greater Than 2400 Mb/s January 2015 Jennie Grosslight Product Manager Agenda Overview Benefits and challenges for DDR4 and LPDDR4 >2400Mb/s Breakthrough Insight

More information

SC64G1A08. DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits)

SC64G1A08. DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits) SC64G1A08 DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits) General Description The ADATA s SC64G1A08 is a 128Mx64 bits 1GB(1024MB) DDR3-1600(CL7) SDRAM XMP (ver 2.0) memory module, The

More information

Ethernet OptoLock EDL300T

Ethernet OptoLock EDL300T Ethernet OptoLock EDL300T DATA SHEET 650 nm 100 Mbps Ethernet Fiber Optic Transceiver with Termination for Bare POF Seamless Digital to Light/ Light to Digital Conversion FEATURES Simple low-cost termination

More information

W2630 Series DDR2 BGA Probes for Logic Analyzers and Oscilloscopes

W2630 Series DDR2 BGA Probes for Logic Analyzers and Oscilloscopes W2630 Series DDR2 BGA Probes for Logic Analyzers and Oscilloscopes Sheet The W2630 Series DDR2 BGA probes enable probing of embedded memory DIMMs directly at the ball grid array with Agilent logic analyzers

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

AOZ Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications

AOZ Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications Ultra-Low Capacitance TS Diode General Description The -03 is a transient voltage suppressor array designed to protect high speed data lines such as HDMI 1.4/2.0, USB 3.1, MDDI, SATA, and Gigabit thernet

More information

DisplayPort Testing Challenges

DisplayPort Testing Challenges DisplayPort Testing Challenges U N Vasudev May 6 th 2013 Agenda DisplayPort Overview DisplayPort 1.2 updates DisplayPort 1.2 Transmitter Testing What s New: T2, TP3, TP3EQ Physical Layer Test Overview

More information

Modeling MultiGigabit FPGA Channels with Agilent ADS 2008

Modeling MultiGigabit FPGA Channels with Agilent ADS 2008 Modeling MultiGigabit FPGA Channels with Agilent ADS 2008 Andy Turudic Sr. Manager, High-End FPGAs Altera aturudic@altera.com Amolak Badesha Field Applications Engineer - Agilent 2008 Altera Corporation

More information

LPDDR4: Evolution for new Mobile World

LPDDR4: Evolution for new Mobile World LPDDR4: Evolution for new Mobile World 2013.08.06 JungYong(JY) Choi Senior Manager Samsung Semiconductor Inc. 1 / 22 Legal Disclaimer This presentation is intended to provide information concerning memory

More information

GIGALIGHT CXP-CXP Active Optical Cable GCX-DO151G-XXXC

GIGALIGHT CXP-CXP Active Optical Cable GCX-DO151G-XXXC GIGALIGHT CXP-CXP Active Optical Cable GCX-DO151G-XXXC Features Full duplex 12 channel 850nm parallel active optical cable Transmission data rate up to 12.5Gbit/s per channel Hot pluggable electrical interface

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

81.X111GSR1131S-TP 10Gb/s 850nm Multimode XFP Optical Transceiver

81.X111GSR1131S-TP 10Gb/s 850nm Multimode XFP Optical Transceiver 81.X111GSR1131S-TP 10Gb/s 850nm Multimode XFP Optical Transceiver PRODUCT FEATURES Hot-pluggable XFP footprint Supports 9.95Gb/s to 11.3Gb/s bit rates XFI Loopback Mode Power dissipation

More information

1. SDRAM Controller Core

1. SDRAM Controller Core 1. SDRAM Controller Core NII51005-7.2.0 Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows

More information

Sophon SC1 White Paper

Sophon SC1 White Paper Sophon SC1 White Paper V10 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved Version Update Content Release Date V10-2017/10/25 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved

More information

6. I/O Features in Stratix IV Devices

6. I/O Features in Stratix IV Devices 6. I/O Features in Stratix IV Devices September 2012 SIV51006-3.4 SIV51006-3.4 This chapter describes how Stratix IV devices provide I/O capabilities that allow you to work in compliance with current and

More information

Keysight Technologies 8 Hints for Debugging and Validating High-Speed Buses. Application Note

Keysight Technologies 8 Hints for Debugging and Validating High-Speed Buses. Application Note Keysight Technologies 8 Hints for Debugging and Validating High-Speed Buses Application Note Introduction Who Should Read This Application Note? Digital R & D engineers designing boards and subsystems

More information

SCIENCEWORKSHOP 750 INTERFACE. Instruction Sheet for the PASCO Model CI Introduction

SCIENCEWORKSHOP 750 INTERFACE. Instruction Sheet for the PASCO Model CI Introduction Instruction Sheet for the PASCO Model CI-7500 012-06772A 4/98 $1.00 SCIENCEWORKSHOP 750 INTERFACE ventilation louvres POWER power light ScienceWorkshop 750 1 2 3 4 A B C DIGITAL CHANNELS ANALOG CHANNELS

More information

TITLE. Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices. Jin-Sung Youn (Samsung Electronics)

TITLE. Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices. Jin-Sung Youn (Samsung Electronics) TITLE Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices Jin-Sung Youn (Samsung Electronics) Image Jin-Sung Youn, Jieun Park, Jinwon Kim, Daehee Lee, Sangnam Jeong, Junho Lee, Hyo-Soon

More information

Structure of Computer Systems. advantage of low latency, read and write operations with auto-precharge are recommended.

Structure of Computer Systems. advantage of low latency, read and write operations with auto-precharge are recommended. 148 advantage of low latency, read and write operations with auto-precharge are recommended. The MB81E161622 chip is targeted for small-scale systems. For that reason, the output buffer capacity has been

More information

Update on technical feasibility for PAM modulation

Update on technical feasibility for PAM modulation Update on technical feasibility for PAM modulation Gary Nicholl, Chris Fludger Cisco IEEE 80.3 NG00GE PMD Study Group March 0 PAM Architecture Overview [Gary Nicholl] PAM Link Modeling Analysis [Chris

More information