FPGA Solutions: Modular Architecture for Peak Performance

Size: px
Start display at page:

Download "FPGA Solutions: Modular Architecture for Peak Performance"

Transcription

1

2 FPGA Solutions: Modular Architecture for Peak Performance Real Time & Embedded Computing Conference Houston, TX June 17, 2004 Andy Reddig President & CTO

3 Agenda Company Overview FPGA technology trends - Xilinx Virtex II Pro (RocketIO ports, embedded 405 PPC) - Hardware/software co-design toolsets - IP cores FPGA system-level integration - tekconnect IP-to-IP interconnect - tekx software environment FPGA-based products - PMC I/O Modules - VITA 42 XMC I/O Modules (PCI Express, Serial RapidIO) - 6U VME / RACE++ carriers - 6U VITA 41 VXS carriers (PCI Express, Serial RapidIO) FPGA system examples

4 TEK Microsystems At A Glance Business Facts and Figures: - Founded in Privately held - 20 employees - $4.4M sales (2003), CAGR > 30% from 1999 to 2003 Early adopter of fabric based technology - First RACEway product in Industry s first PPC/PMC carrier for RACE++ in Broadest range of I/O solutions for RACE++ in 2001 FPGA technology for reconfigurable computing - Over 30 I/O modules using FPGAs for customization since Modular hardware / software / IP architecture Leadership position in open standards development - VITA / VSO, RapidIO, PICMG - Draft editor for VITA 42 XMC, Co-chair PICMG XMC Express Leveraging I/O and fabric expertise into heterogeneous signal processing technology (FPGA, FPOA, PPC)

5 FPGA Technology Trends Xilinx Virtex II Pro Family Embedded 405 PowerPC processors - Limited usefulness for general purpose processing - Good fit for stream management and control Gate density up to 12.5M gates - Tends to make designs power-limited instead of space-limited - Power and thermal requirements driven by IP functionality Integrated RocketIO SerDes ports - Supports Serial RapidIO, PCI Express, other interconnects through IP changes - Enables fabric-agnostic endpoints, processors Active and growing ecosystem - Hardware / software co-design toolsets - IP cores for signal processing

6 FPGA vs PowerPC Toolsets PowerPC FPGA Components Development Language AltiVec IBM 970 C, C++ Xilinx V2Pro VHDL, Verilog Source-Level Development Tools Optimized Signal Processing Functions Compilers Libraries VSIPL Synthesis Place & Route Cores

7 FPGA Toolsets Traditional FPGA design - Xilinx Foundation ISE, Synplicity Synplify Pro for synthesis - Xilinx Foundation ISE for place & route - ModelSim for simulation FPGA debug support - Xilinx ChipScope logic analyzer through JTAG interface - Hardware-in-the-loop verification tools C-to-VHDL translation tools Graphical high-level design tools IP core vendors No one toolset fits all customers / applications our approach is to enable and validate toolsets and offer the full range of options to users

8 FPGA Turnkey Solutions Some applications do not need custom IP development If 80% of the application workload is 512K FFTs (for example), a turnkey solution offers quick time-to-market with low development cost / risk Tekmicro offers pre-integrated IP cores as bitstream solutions no FPGA coding required Turnkey solutions have the same software API support as customer-developed IP, allowing initial prototyping using a turnkey solution to be upgraded to a custom tailored solution when available Our focus is on integration of cores, not development of cores with cores selected based on customer demand

9 tekconnect Interface IP CORE DATA + TAG CONTROL STATUS DATA + TAG CONTROL STATUS IP-to-IP interconnect Used on-chip and chip-to-chip Simple streaming interface 32 or 64 data bits 4 tag bits - Frame marks - Split transaction control - Event notification - Control / status registers Unidirectional or bidirectional Supports data-only or address/route/data functionality Supports master or slave semantics

10 tekconnect Integration (FFT core) tekconnect wrapper around off-theshelf FFT IP core tekconnect wrapper FFT Registers Framing & flow control Frame marks used to start FFT processing Register interface used for FFT core configuration - Static or dynamic Abstracts interface to FFT core Allows easy pipelining of IP cores Supports insertion of improved cores without impacting application software or other FPGA IP

11 tekconnect Integration (Fabric Interface) tekconnect wrapper DMA Engine ukernel Firmware PCI Express Core 405 PPC tekconnect wrapper around interface to off-chip fabric Uses embedded 405PPC for intelligent stream management protocol Frame marks used to control DMA packet boundaries Head of frame data optionally controls DMA packet chain selection, allowing data-driven dispatch Abstracts interface to bus / fabric Supports fabric-agnostic FPGA designs - PCI, PCI-X - RACE++ - StarFabric -PCI Express - Serial RapidIO Supports migration of FPGA design to different platforms / fabrics without impacting application software or other FPGA IP

12 tekx Software Environment Fabric configuration (auto-discovery when possible) Name server for object lookup from any node - Distributed object database (low latency) - Static vs. dynamic object management Global Shared Memory ( SMB ) for shared data Data transfer library ( DX ) for scheduled DMA operations Interprocessor communications primitives - Semaphore - Message queue (1-to-1 and N-to-1) - Socket Fabric agnostic: RACE++, StarFabric, Serial RapidIO, PCI Express, Advanced Switching OS independent: VxWorks, Linux, MCOE Uses native OS development toolchain

13 tekx Software Architecture Client-server model for intelligent stream management Each fabric node executes a common server protocol and provides a uniform messaging interface to client nodes Fabric nodes include: - Traditional processor nodes - FPGA based adjunct processing nodes (embedded 405GP in Xilinx Platform Pro FPGAs) - PMC / XMC based I/O processing nodes (embedded 405GP in Xilinx Platform Pro FPGA on PMC or XMC) Architecture provides a standard interface to a wide range of I/O and processing devices accessible to heterogeneous clients Fully interoperable I/O and FPGA solutions with Mercury MCOEbased PowerPC processing

14 tekx Software FPGA Drivers tekx includes integrated driver support for tekconnect-based endpoints for PCI, PCI-X, RACE++, Serial RapidIO and PCI Express User API calls simply request data transfer between endpoints Endpoints can be I/O streams (I.e. PMC / XMC modules), FPGA streams or memory buffers on PowerPC processing nodes tekx abstracts the management of the DMA controllers, using the appropriate hardware resources to push data efficiently through the fabric Address, routing and flow control are managed under the covers Grouped, looped and adaptive transfers are supported Notification can use polling (spin-lock) or blocking semantics The combination of tekx and tekconnect support co-development of application software and customized FPGA IP that can easily be moved to future products without redesign or modification

15 Standard FPGA-based Products PMC / XMC I/O modules - Front end / back end architecture - 32-bit and 64-bit PCI options - FPGA formatting / processing engines - VITA 42 XMC modules in development - VxWorks drivers PowerRACE: PMC / XMC carrier boards - Onboard RACE++ fabric - 6U VME form factor - Dual PowerPC processors - Dual FPGA processing engines - Software drivers for VxWorks and MCOE tekx Software Environment tekconnect FPGA IP-to-IP interconnect Linux support under development

16 PMC Module

17 PMC / XMC Front End Interfaces HOTLink, HOTLink II (copper, fiber) 11 models TAXI (copper, fiber) 6 models Front Panel Data Port (FPDP) 2 models Parallel ECL, PECL, LVDS, models Serial ECL, PECL, models Channel Link (Serial LVDS) 4 models Digital Video (125, 244, 259) 2 models DFLEX64, FlexIO Customizable platform FPDP II, Camera Link in development

18 PMC / XMC Back End Interfaces Module PMC64 PMC64X XMC.2 XMC.3 Interface PCI 64/33 PCI 64/66 VITA 42.2 Serial RIO VITA 42.3 PCI Express FPGA Altera 1K100 Xilinx VP30 Xilinx VP30 Xilinx VP30 Throughput 267 MB/s 533 MB/s 4x: 1.25 GB/s 8x: 2.5 GB/s 4x: 1.0 GB/s 8x: 2.0 GB/s Memory 1 MB 64 MB 1.0 GB/s 256 MB 2.0 GB/s 256 MB 2.0 GB/s

19 PMC / XMC Back End (srio / PCI Express)

20 PowerRACE-3 I/O Processor Block Diagram Fabric I/F Fabric I/F FPGA (100K)

21 PowerRACE-3 I/O Processor Two I/O controller nodes - PowerPC CPU, memory, PCI bridge - RACE++ fabric port - PMC slot Two Virtex II Pro (VP30) FPGA processing engines Fully fabric enabled without using PMC slots tekx software environment Turnkey I/O solutions for a wide range of PMC modules Turnkey FPGA IP solutions FPGA Developers Kit for integration of user-developed IP

22 PowerRACE-3 FPGA Developers Kit Xilinx Foundation toolset with ModelSim simulation FPGA bitstream downloaded under software control from CPU JTAG connector for ChipScope debug support Minimal serial interface to CPU (can be used for UART-level debug) FPGA IP provided for: - Master/Slave RACE++ interface - DDR memory interface - QDR memory interface - 405GP microkernel and message queue IPC Common core-to-core interconnect using tekconnect v1.1 Sample application IP and test software provided Streaming data IP interface supports recompilation of user IP for different PowerRACE products / future fabrics without modifications

23 PowerRACE-3 FPGA Developers Kit

24 PowerRACE-3 FPGA IP Cores Adjunct Processing IP Image processing: - Non-uniformity correction - Forward motion correction - Convolution - Compression / decompression Small FFT (1k 8k points) - Optional (runtime) windowing - Optional (runtime) fixed-to-float conversion Large FFT (up to 512K points) - Requires QDR SSRAM model Msps throughput with full 36-bit internal precision Digital filtering

25 FPGA Systems Example #1 PMC Module Time domain processing I/O Processor FFT Core PCI Interface RACE++ Interface RACE++ Interface Digital Receiver Front End Input is Parallel ECL, 100 MB/s Time domain processing performed in PMC FPGA 4K FFT performed on baseboard FPGA Migration underway to move FFT to larger PMC FPGA and downstream processing into I/O Processor baseboard FPGA

26 FPGA Systems Example #2 PMC Module Frame formatting I/O Processor Non- Uniformity Correction Detection PCI Interface RACE++ Interface RACE++ Interface Image Processing Front End Input is Channel Link, 200 MB/s Line / frame formatting performed in PMC FPGA Non-Uniformity Correction - 50 MB table memory in DDR Detection - Multi-line / multi-frame processing - Uses other DDR page for buffering Two VP30 FPGAs will replace six 7410 AltiVec processors Board count reduced by 40% (5 to 3) Next generation will use serial fabric, lowering cost further, add capability Reuse of FPGA IP and rapid prototyping critical to meet fast product cycle times

27 FPGA Systems Example #3 PMC Module TD proc, formatting I/O Processor Windowing 512K FFT PCI Interface RACE++ Interface RACE++ Interface DF Processing Front End Input is digital receiver data, 14 bit x 100 Msps, 200 MB/s Time domain processing and sample formatting performed in PMC FPGA Custom 512K FFT core - 34 bit internal precision - Proprietary windowing algorithm - Uses three banks of QDR SSRAM Replaces AltiVec CPUs with four VP30 FPGAs In development

28 Summary PowerRACE-3 is our first FPGA-based I/O processing baseboard - Available now - Targeted at legacy RACE++ systems for technology refresh - Limited by RACE++ to 267 MB/s per fabric port - FPGA processing is I/O limited for many applications PowerFLEX-4 (3Q04) will break the throughput bottleneck - Open standards-based solution (I/O and backplane) GB/s bandwidth to/from each XMC module GB/s bandwidth to/from the backplane - Same tekconnect and tekx architecture Our modular approach to FPGA solutions allows applications to be prototyped today using RACE++ and migrate to future switched fabric interconnects

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

CHAMP-FX2. FPGA Accelerator Signal Processing Platform. Data Sheet. Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform

CHAMP-FX2. FPGA Accelerator Signal Processing Platform. Data Sheet. Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform Data Sheet CHAMP-FX2 FPGA Accelerator Signal Processing Platform Features 6U VPX-REDI (VITA 46 and 48) FPGA signal processing platform Two user-programmable Xilinx Virtex -5 FPGA nodes (LX110T or LX220T)

More information

QuiXilica V5 Architecture

QuiXilica V5 Architecture QuiXilica V5 Architecture: The High Performance Sensor I/O Processing Solution for the Latest Generation and Beyond Andrew Reddig President, CTO TEK Microsystems, Inc. Military sensor data processing applications

More information

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet

XMC-FPGA05F. Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad Fiber-optics. Data Sheet Data Sheet XMC-FPGA05F Programmable Xilinx Virtex -5 FPGA PMC/XMC with Quad s Applications Remote Sensor Interface Data Recorders Distributed Processing Interconnect Protocol Converter Data Encryption

More information

Support for Programming Reconfigurable Supercomputers

Support for Programming Reconfigurable Supercomputers Support for Programming Reconfigurable Supercomputers Miriam Leeser Nicholas Moore, Albert Conti Dept. of Electrical and Computer Engineering Northeastern University Boston, MA Laurie Smith King Dept.

More information

PMC-440 ProWare FPGA Module & ProWare Design Kit

PMC-440 ProWare FPGA Module & ProWare Design Kit PMC-440 ProWare FPGA Module & ProWare Design Kit FPGA I/O Interfacing and DSP Pre-Processing PMC Module and Design Kit Features Xilinx Virtex-II Pro TM Platform FPGA (XC2VP20 or XC2VP40) 64-bit, 66MHz

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

Ensemble 6000 Series OpenVPX HCD6210 Dual QorIQ T4240 Processing Module

Ensemble 6000 Series OpenVPX HCD6210 Dual QorIQ T4240 Processing Module Ensemble 6000 Series OpenVPX HCD6210 Dual QorIQ T4240 Processing Module Next-Generation High Density Processing With I/O in a Single VPX slot OpenVPX The Ensemble 6000 Series OpenVPX HCD6210 High Compute

More information

HPE720. Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card. Data Sheet

HPE720. Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card. Data Sheet Data Sheet HPE720 Dual Xilinx Virtex -5 FPGA & MPC8640D VPX Processor Card Applications Signal Intelligence (SIGINT) Image Processing Electronic Warfare (EW) Radar Processing Features FPGA and Power Architecture

More information

Choosing the Right COTS Mezzanine Module

Choosing the Right COTS Mezzanine Module Choosing the Right COTS Mezzanine Module Rodger Hosking, Vice President, Pentek One Park Way, Upper Saddle River, New Jersey 07458 Tel: (201) 818-5900 www.pentek.com Open architecture embedded systems

More information

Octopus: A Multi-core implementation

Octopus: A Multi-core implementation Octopus: A Multi-core implementation Kalpesh Sheth HPEC 2007, MIT, Lincoln Lab Export of this products is subject to U.S. export controls. Licenses may be required. This material provides up-to-date general

More information

FPE320. Xilinx Virtex -5 3U VPX Processor with FMC Site. Data Sheet

FPE320. Xilinx Virtex -5 3U VPX Processor with FMC Site. Data Sheet Data Sheet FPE320 Virtex -5 3U VPX Processor with Site Applications Electronic Warfare & Signal Intelligence (SIGINT) Electronic Counter Measures UAV Sensor Acquisition Semiconductor Inspection Seismic

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

Product Overview. Programmable Network Cards Network Appliances FPGA IP Cores

Product Overview. Programmable Network Cards Network Appliances FPGA IP Cores 2018 Product Overview Programmable Network Cards Network Appliances FPGA IP Cores PCI Express Cards PMC/XMC Cards The V1151/V1152 The V5051/V5052 High Density XMC Network Solutions Powerful PCIe Network

More information

VPF1. Dual PowerPC, Dual Xilinx Virtex-II Pro FPGA Processing Engine. Features.

VPF1. Dual PowerPC, Dual Xilinx Virtex-II Pro FPGA Processing Engine. Features. VPF1 Dual, Dual Xilinx Virtex-II Pro Processing Engine Features 2x 7447 CPU nodes 2x Xilinx Virtex-II Pro nodes 8x 2.0-3.125Gbit/sec serial I/O links VME/VITA 41 VXS Compliant PMC site for local I/O Gbit

More information

SDR-3000 Series Software Defined Radio Transceiver Subsystems

SDR-3000 Series Software Defined Radio Transceiver Subsystems SIGNAL PROCESSING Preliminary SDR-3000 Series Software Defined Radio Transceiver Subsystems cpci Benefits Ultra high performance wireless processing engine Supports signal bandwidths in excess of 32 MHz

More information

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen

Compute Node Design for DAQ and Trigger Subsystem in Giessen. Justus Liebig University in Giessen Compute Node Design for DAQ and Trigger Subsystem in Giessen Justus Liebig University in Giessen Outline Design goals Current work in Giessen Hardware Software Future work Justus Liebig University in Giessen,

More information

AD GSPS Analog Input XMC/PMC with Xilinx Virtex -5 FPGA. Data Sheet

AD GSPS Analog Input XMC/PMC with Xilinx Virtex -5 FPGA. Data Sheet Data Sheet 3GSPS Analog Input XMC/PMC with Xilinx Virtex -5 FPGA Applications Electronic Warfare (EW) Spectral Analysis RADAR Features 3GSPS, 8-bit ADC Xilinx Virtex-5 SX95T FPGA (user programmable) Dual

More information

UWB PMC/XMC I/O Module

UWB PMC/XMC I/O Module UWB PMC/XMC I/O Module 2 Ch. Ultra-Wide-Band Receiver 25 MSPS A/Ds Large FPGA for User Code Deep memory Features Two LTC222-2, 2-bit 25MSPS converters 3MHz analog input bandwidth Support for undersampling

More information

Nutaq Perseus 601X Virtex-6 AMC with FMC site PRODUCT SHEET

Nutaq Perseus 601X Virtex-6 AMC with FMC site PRODUCT SHEET Nutaq Perseus 601X Virtex-6 AMC with FMC site PRODUCT SHEET RoHS QUEBEC I MONTREAL I NEW YORK I nutaq.com Nutaq Perseus 601X Mid-size AMC for μtca and AdvancedTCA platforms Choice of powerful LXT and SXT

More information

Calypso-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise

Calypso-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise The Leader In FPGA-based Sensor I/O Processing Calypso-V6 VME / VXS Extreme Signal Acquisition and FPGA-based Processing Without Compromise Features Two 12-bit ADCs at 3.6 GSPS Also supports 6 channels

More information

Leveraging the PCI Support in Windows 2000 for StarFabric-based Systems

Leveraging the PCI Support in Windows 2000 for StarFabric-based Systems Leveraging the PCI Support in Windows 2000 for StarFabric-based Systems Mark Overgaard President, Pigeon Point Systems mark@pigeonpoint.com, 831-438-1565 Agenda Background StarFabric Bus Driver for Windows

More information

AMC516 Virtex-7 FPGA Carrier for FMC, AMC

AMC516 Virtex-7 FPGA Carrier for FMC, AMC KEY FEATURES Virtex-7 FPGA Carrier AMC FPGA carrier for FMC per VITA-57 Xilinx Virtex-7 690T FPGA in FFG-1761 package with optional P2040 Supported by DAQ Series data acquisition software AMC Ports 12-15

More information

An NVMe-based Offload Engine for Storage Acceleration Sean Gibb, Eideticom Stephen Bates, Raithlin

An NVMe-based Offload Engine for Storage Acceleration Sean Gibb, Eideticom Stephen Bates, Raithlin An NVMe-based Offload Engine for Storage Acceleration Sean Gibb, Eideticom Stephen Bates, Raithlin 1 Overview Acceleration for Storage NVMe for Acceleration How are we using (abusing ;-)) NVMe to support

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Getting Started Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your ML605 kit online at: http://www.xilinx.com/onlinestore/v6_boards.htm

More information

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ 1 P age Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ April 04, 2011 Getting Started 1. Where can I purchase a kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Connectivity kits online at: Spartan-6

More information

ReconOS: An RTOS Supporting Hardware and Software Threads

ReconOS: An RTOS Supporting Hardware and Software Threads ReconOS: An RTOS Supporting Hardware and Software Threads Enno Lübbers and Marco Platzner Computer Engineering Group University of Paderborn marco.platzner@computer.org Overview the ReconOS project programming

More information

TS-C43. The TS-C43 is a quad TigerSHARC DSP PMC. Quad ADSP-TS101S DSP 64-bit PMC Card. Features. 4x 300MHz ADSP-TS101 DSPs

TS-C43. The TS-C43 is a quad TigerSHARC DSP PMC. Quad ADSP-TS101S DSP 64-bit PMC Card. Features.   4x 300MHz ADSP-TS101 DSPs TS-C43 Quad A-TS101S 64-bit PMC Card Features 4x 300MHz A-TS101 s Clustered Architecture 32/64-bit (33/66MHz) PCI Interface Local Xilinx Virtex-II FPGA FPDP, SERDES and Channel Link Digital I/O options

More information

AMC517 Kintex-7 FPGA Carrier for FMC, AMC

AMC517 Kintex-7 FPGA Carrier for FMC, AMC AMC Kintex-7 FPGA Carrier KEY FEATURES AMC FPGA carrier for FMC per VITA-57 Xilinx Kintex-7 410T FPGA in FFG-900 package with optional P2040 Supported by DAQ Series data acquisition software AMC Ports

More information

Embedded Tech Trends 2014 New EW architectures based on tight coupling of FPGA and CPU processing

Embedded Tech Trends 2014 New EW architectures based on tight coupling of FPGA and CPU processing Embedded Tech Trends 2014 New EW architectures based on tight coupling of and CPU processing 1 Sensors to Parallel Processing to Wide-Area Networks RF Sampling behind antenna LVDS capture Processing DMA

More information

PowerPC on NetFPGA CSE 237B. Erik Rubow

PowerPC on NetFPGA CSE 237B. Erik Rubow PowerPC on NetFPGA CSE 237B Erik Rubow NetFPGA PCI card + FPGA + 4 GbE ports FPGA (Virtex II Pro) has 2 PowerPC hard cores Untapped resource within NetFPGA community Goals Evaluate performance of on chip

More information

Creating High Performance Clusters for Embedded Use

Creating High Performance Clusters for Embedded Use Creating High Performance Clusters for Embedded Use 1 The Hype.. The Internet of Things has the capacity to create huge amounts of data Gartner forecasts 35ZB of data from things by 2020 etc Intel Putting

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

Virtex-5 GTP Aurora v2.8

Virtex-5 GTP Aurora v2.8 0 DS538 October 10, 2007 0 0 Introduction The Virtex -5 GTP Aurora core implements the Aurora protocol using the high-speed serial GTP transceivers in Virtex-5 LXT and SXT devices. The core can use up

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

Gemini-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise

Gemini-V6 VME / VXS. Extreme Signal Acquisition. and FPGA-based Processing. Without Compromise The Leader In FPGA-based Sensor I/O Processing Gemini-V6 VME / VXS Extreme Signal Acquisition and FPGA-based Processing Without Compromise Features One 12-bit ADC channels at 3.6 GSPS, or three channels

More information

RiceNIC. A Reconfigurable Network Interface for Experimental Research and Education. Jeffrey Shafer Scott Rixner

RiceNIC. A Reconfigurable Network Interface for Experimental Research and Education. Jeffrey Shafer Scott Rixner RiceNIC A Reconfigurable Network Interface for Experimental Research and Education Jeffrey Shafer Scott Rixner Introduction Networking is critical to modern computer systems Role of the network interface

More information

Avoid Bottlenecks Using PCI Express-Based Embedded Systems

Avoid Bottlenecks Using PCI Express-Based Embedded Systems Avoid Bottlenecks Using PCI Express-Based Embedded Systems Implementing efficient data movement is a critical element in high-performance embedded systems, and the advent of PCI Express has presented us

More information

Replacing legacy RICE electronics Mitigating risk Component obsolescence, maintenance burden, Micro VAX II backplane, programmer portability

Replacing legacy RICE electronics Mitigating risk Component obsolescence, maintenance burden, Micro VAX II backplane, programmer portability Jeff Hill LANSCE Replacing legacy RICE electronics Mitigating risk Component obsolescence, maintenance burden, Micro VAX II backplane, programmer portability Obsolesce Old designs multiplexing one type

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

VPXI epc. Datasheet. AmpliconBenelux.com. Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION

VPXI epc. Datasheet. AmpliconBenelux.com. Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION V1.2 04/6/13 Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots FEATURES VPX for Instrumentation 3U OpenVPX embedded computer system Integrated timing and triggering Advanced

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

INT G bit TCP Offload Engine SOC

INT G bit TCP Offload Engine SOC INT 10011 10 G bit TCP Offload Engine SOC Product brief, features and benefits summary: Highly customizable hardware IP block. Easily portable to ASIC flow, Xilinx/Altera FPGAs or Structured ASIC flow.

More information

3CPF1. 3U PowerPC/Xilinx Virtex-II Pro Processing Engine. Data Sheet

3CPF1. 3U PowerPC/Xilinx Virtex-II Pro Processing Engine. Data Sheet Data Sheet 3CPF1 3U PowerPC/Xilinx Virtex-II Pro Processing Engine Applications The 3CPF1 is designed to solve the most demanding scalable processing requirements in embedded systems, in particular for

More information

XMC Module with Eight 250 MSPS, 14-bit A/Ds, Xilinx Virtex-6 FPGA, and 4 GB LPDDR2

XMC Module with Eight 250 MSPS, 14-bit A/Ds, Xilinx Virtex-6 FPGA, and 4 GB LPDDR2 XMC Module with Eight 250 MSPS, 14-bit A/Ds, Xilinx Virtex-6 FPGA, and 4 GB LPDDR2 FEATURES Eight 250 MSPS, 14-bit A/D channels Input Bandwidth: 400 MHz (AC-coupled) 1.5 Vp-p, AC-coupled, 50 ohm, SSMC

More information

ML505 ML506 ML501. Description. Description. Description. Features. Features. Features

ML505 ML506 ML501. Description. Description. Description. Features. Features. Features ML501 Purpose: General purpose FPGA development board. Board Part Number: HW-V5-ML501-UNI-G Device Supported: XC5VLX50FFG676 Price: $995 The ML501 is a feature-rich and low-cost evaluation/development

More information

Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION

Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots DESCRIPTION V1.2 04/6/13 Air Cooled 4U 1/2 Rack OpenVPX Windows/Linux Computer with Four Expansion Slots FEATURES VPX for Instrumentation 3U OpenVPX embedded computer system Integrated timing and triggering Advanced

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

Maximizing heterogeneous system performance with ARM interconnect and CCIX

Maximizing heterogeneous system performance with ARM interconnect and CCIX Maximizing heterogeneous system performance with ARM interconnect and CCIX Neil Parris, Director of product marketing Systems and software group, ARM Teratec June 2017 Intelligent flexible cloud to enable

More information

Network Interface Architecture and Prototyping for Chip and Cluster Multiprocessors

Network Interface Architecture and Prototyping for Chip and Cluster Multiprocessors University of Crete School of Sciences & Engineering Computer Science Department Master Thesis by Michael Papamichael Network Interface Architecture and Prototyping for Chip and Cluster Multiprocessors

More information

An FPGA-Based Optical IOH Architecture for Embedded System

An FPGA-Based Optical IOH Architecture for Embedded System An FPGA-Based Optical IOH Architecture for Embedded System Saravana.S Assistant Professor, Bharath University, Chennai 600073, India Abstract Data traffic has tremendously increased and is still increasing

More information

Components of a MicroTCA System

Components of a MicroTCA System Micro TCA Overview0 Platform, chassis, backplane, and shelf manager specification, being developed through PICMG Allows AMC modules to plug directly into a backplane Fills the performance/cost gap between

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's)

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's) The Product Brief October 07 Ver. 1.3 Group DN9000K10PCIe-4GL XilinxVirtex-5 Based ASIC Prototyping Engine, 4-lane PCI Express (Genesys Logic PHYs) Features PCI Express (4-lane) logic prototyping system

More information

Embedded Control Redefined: New C/C++ Options on NI Linux Real-Time

Embedded Control Redefined: New C/C++ Options on NI Linux Real-Time Embedded Control Redefined: New C/C++ Options on NI Linux Real-Time Anna Kozminski Software Product Manager Agenda NI Embedded Software Architecture Communicating between C/C++ and LabVIEW Development

More information

Gedae cwcembedded.com. The CHAMP-AV6 VPX-REDI. Digital Signal Processing Card. Maximizing Performance with Minimal Porting Effort

Gedae cwcembedded.com. The CHAMP-AV6 VPX-REDI. Digital Signal Processing Card. Maximizing Performance with Minimal Porting Effort Technology White Paper The CHAMP-AV6 VPX-REDI Digital Signal Processing Card Maximizing Performance with Minimal Porting Effort Introduction The Curtiss-Wright Controls Embedded Computing CHAMP-AV6 is

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

DESIGN STRATEGIES & TOOLS UTILIZED

DESIGN STRATEGIES & TOOLS UTILIZED CHAPTER 7 DESIGN STRATEGIES & TOOLS UTILIZED 7-1. Field Programmable Gate Array The internal architecture of an FPGA consist of several uncommitted logic blocks in which the design is to be encoded. The

More information

Software Development Using Full System Simulation with Freescale QorIQ Communications Processors

Software Development Using Full System Simulation with Freescale QorIQ Communications Processors Patrick Keliher, Simics Field Application Engineer Software Development Using Full System Simulation with Freescale QorIQ Communications Processors 1 2013 Wind River. All Rights Reserved. Agenda Introduction

More information

C901 PowerPC MPC7448 3U CompactPCI SBC

C901 PowerPC MPC7448 3U CompactPCI SBC C901 PowerPC MPC7448 3U CompactPCI SBC Rugged 3U CompactPCI SBC PowerPC 7448 @ 1.4 GHz, 1.0 GHz, or 600 MHz, with AltiVec Technology 166 MHz MPX Bus Marvell MV64460 Discovery TM III System Controller One

More information

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist High Performance Embedded Applications Raja Pillai Applications Engineering Specialist Agenda What is High Performance Embedded? NI s History in HPE FlexRIO Overview System architecture Adapter modules

More information

Boundary Scan: Technology Update

Boundary Scan: Technology Update ASSET InterTech, Inc. Boundary Scan: Technology Update Doug Kmetz Sales Engineer ASSET InterTech, Inc. Agilent Boundary Scan User Group Meeting May 5, 2010 Overview ASSET InterTech Driving Embedded Instrumentation

More information

Industry Collaboration and Innovation

Industry Collaboration and Innovation Industry Collaboration and Innovation OpenCAPI Topics Industry Background Technology Overview Design Enablement OpenCAPI Consortium Industry Landscape Key changes occurring in our industry Historical microprocessor

More information

Design of a Gigabit Distributed Data Multiplexer and Recorder System

Design of a Gigabit Distributed Data Multiplexer and Recorder System Design of a Gigabit Distributed Data Multiplexer and Recorder System Abstract Albert Berdugo VP of Advanced Product Development Teletronics Technology Corporation Bristol, PA Historically, instrumentation

More information

LogiCORE IP Serial RapidIO v5.6

LogiCORE IP Serial RapidIO v5.6 DS696 March 1, 2011 Introduction The LogiCORE IP Serial RapidIO Endpoint solution comprises a highly flexible and optimized Serial RapidIO Physical Layer core and a Logical (I/O) and Transport Layer interface.

More information

English Japanese

English   Japanese Spartan -6 FPGA Consumer Video Kit FAQ General Questions: Q: What is the Spartan -6 FPGA Consumer Video Kit? A: The Spartan-6 FPGA Consumer Video Kit (CVK) consists of a Spartan-6 LX150T base board, four

More information

X6-250M. XMC Module with Eight 310 MSPS, 14-bit A/Ds, Xilinx Virtex-6 FPGA, and 4 GB LPDDR2 DESCRIPTION FEATURES APPLICATIONS SOFTWARE

X6-250M. XMC Module with Eight 310 MSPS, 14-bit A/Ds, Xilinx Virtex-6 FPGA, and 4 GB LPDDR2 DESCRIPTION FEATURES APPLICATIONS SOFTWARE V1.6 05/22/18 XMC Module with Eight 310 MSPS, 14-bit A/Ds, Xilinx Virtex-6 FPGA, and 4 GB LPDDR2 FEATURES Eight 310 MSPS, 14-bit A/D channels (250 MSPS built prior to Sep 10, 2014) Input Bandwidth: 400

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

Simplifying the Development and Debug of 8572-Based SMP Embedded Systems. Wind River Workbench Development Tools

Simplifying the Development and Debug of 8572-Based SMP Embedded Systems. Wind River Workbench Development Tools Simplifying the Development and Debug of 8572-Based SMP Embedded Systems Wind River Workbench Development Tools Agenda Introducing multicore systems Debugging challenges of multicore systems Development

More information

XMC Products. High-Performance XMC FPGAs, XMC 10gB Ethernet, and XMC Carrier Cards. XMC FPGAs. FPGA Extension I/O Modules.

XMC Products. High-Performance XMC FPGAs, XMC 10gB Ethernet, and XMC Carrier Cards. XMC FPGAs. FPGA Extension I/O Modules. E M B E D D E D C O M P U T I N G & I / O S O L U T I O N S XMC Products XMC FPGAs FPGA Extension I/O Modules XMC 10gB Ethernet XMC Carrier Cards XMC Software Support High-Performance XMC FPGAs, XMC 10gB

More information

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com FlexRIO FPGAs Bringing Custom Functionality to Instruments Ravichandran Raghavan Technical Marketing Engineer Electrical Test Today Acquire, Transfer, Post-Process Paradigm Fixed- Functionality Triggers

More information

Keysight U5340A FPGA Development Kit for High-Speed Digitizers

Keysight U5340A FPGA Development Kit for High-Speed Digitizers Keysight U5340A FPGA Development Kit for High-Speed Digitizers 02 Keysight U5340A FPGA Development Kit for High-Speed Digitizers - Brochure Helping You Achieve Greater Performance The FPGA Development

More information

Full Linux on FPGA. Sven Gregori

Full Linux on FPGA. Sven Gregori Full Linux on FPGA Sven Gregori Enclustra GmbH FPGA Design Center Founded in 2004 7 engineers Located in the Technopark of Zurich FPGA-Vendor independent Covering all topics

More information

10.3 A General Purpose FPGA Based Radar Controller and Signal Processor

10.3 A General Purpose FPGA Based Radar Controller and Signal Processor 1 10.3 A General Purpose FPGA Based Radar Controller and Signal Processor Charles Martin*, Eric Loew, Chris Burghart National Center for Atmospheric Research, Boulder, Colorado 1 Introduction 1 Radar implementations

More information

Technical Backgrounder: The Optical Data Interface Standard April 28, 2018

Technical Backgrounder: The Optical Data Interface Standard April 28, 2018 ! AdvancedTCA Extensions for Instrumentation and Test PO Box 1016 Niwot, CO 80544-1016 (303) 652-1311 FAX (303) 652-1444 Technical Backgrounder: The Optical Data Interface Standard April 28, 2018 AXIe

More information

The VITA Radio Transport as a Framework for Software Definable Radio Architectures

The VITA Radio Transport as a Framework for Software Definable Radio Architectures The VITA Radio Transport as a Framework for Software Definable Radio Architectures Robert Normoyle (DRS Signal Solutions, Gaithersburg, Md; Robert.Normoyle@DRS-SS.com); and Paul Mesibov (Pentek, Inc. Upper

More information

The Myricom ARC Series with DBL

The Myricom ARC Series with DBL The Myricom ARC Series with DBL Drive down Tick-To-Trade latency with CSPi s Myricom ARC Series of 10 gigabit network adapter integrated with DBL software. They surpass all other full-featured adapters,

More information

Thunderbolt. VME Multiprocessor Boards and Systems. Best Price/Performance of High Performance Embedded C o m p u t e r s

Thunderbolt. VME Multiprocessor Boards and Systems. Best Price/Performance of High Performance Embedded C o m p u t e r s Thunderbolt VME Multiprocessor Boards and Systems For nearly 25 years SKY Computers has been building some of the world s fastest and most reliable embedded computers. We supply more than half of the computers

More information

Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications

Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications System Essentials: Rugged Software Radio Industry Standard Open Architectures

More information

XMC Module with Two 1.8 GSPS, 12-bit A/Ds, Xilinx Virtex-6 FPGA, and 4 GB LPDDR2 DESCRIPTION

XMC Module with Two 1.8 GSPS, 12-bit A/Ds, Xilinx Virtex-6 FPGA, and 4 GB LPDDR2 DESCRIPTION v2.3 07/30/14 XMC Module with Two 1.8 GSPS, 12-bit A/Ds, Xilinx Virtex-6 FPGA, and 4 GB LPDDR2 FEATURES Two 1.8 GSPS, 12-bit A/D channels/single channel interleaved at 3.6 GHz Input Bandwidth: 2.2 GHz

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 5950 Features Supports Xilinx Zynq UltraScale+ RFSoC FPGAs 18 GB of DDR4 SDRAM On-board GPS receiver PCI Express (Gen. 1, 2 and 3) interface up to x8 LVDS connections to

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual user s guide Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual Avnet Design Services 1 of 18 Rev 1.0 12/15/2004 Table of Contents 1 Overview... 5 2 Jumpers... 6 3 Personality Module Mechanicals... 8

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

Streaming, made simple. FPGA Manager. Streaming made simple

Streaming, made simple. FPGA Manager. Streaming made simple Streaming, made simple. FPGA Manager Streaming made simple Agenda Enclustra company profile Reasons for linking a FPGA to a high level language Applications Types of interaction Requirements when linking

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

tekdxfxsl FibreXtreme I/O Interface

tekdxfxsl FibreXtreme I/O Interface RACEway/RACE++ I/O Controllers tekdxfxsl FibreXtreme I/O Interface User s Manual TEK/TM-32514 13 February 2002 TEK Microsystems has made every effort to ensure that this document is accurate and complete.

More information

Ensemble 6000 Series OpenVPX Intel Xeon Dual Quad-Core HDS6600 Module

Ensemble 6000 Series OpenVPX Intel Xeon Dual Quad-Core HDS6600 Module DATASHEET Ensemble 6000 Series OpenVPX Xeon Dual Quad-Core HDS6600 Module Industry-Leading Performance for Rugged Signal Processing 6U OpenVPX -compliant VITA 65/46/48 (VPX-REDI) nodule Two quad-core Xeon

More information

Using FPGAs as a Flexible PCI Interface solution

Using FPGAs as a Flexible PCI Interface solution Using FPGAs as a Flexible Interface solution Jim McManus, Applications Engineer, Xilinx Inc Why do in FPGAs? One of the key reasons is the flexibility only available in FPGAs. This flexibility can save

More information

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments

Implementing RapidIO. Travis Scheckel and Sandeep Kumar. Communications Infrastructure Group, Texas Instruments White Paper Implementing RapidIO Travis Scheckel and Sandeep Kumar Communications Infrastructure Group, Texas Instruments In today s telecommunications market, slow and proprietary is not the direction

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

C6100 Ruggedized PowerPC VME SBC

C6100 Ruggedized PowerPC VME SBC C6100 Ruggedized PowerPC VME SBC Rugged 6U VME Single Slot SBC Conduction and Air-Cooled Versions Two Asynchronous Serial Interfaces Four 32-Bit Timers G4 MPC7457 PowerPC with AltiVec Technology @ up to

More information

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Intel Quartus Prime Pro Edition Software and Device Support Release Notes Intel Quartus Prime Pro Edition Software and Device Support Release Notes RN-01082-17.0.0 2017.05.08 Subscribe Send Feedback Contents Contents 1 Version 17.0... 3 1.1 New Features and Enhancements...3

More information