Parallel to MIPI CSI-2 TX Bridge

Size: px
Start display at page:

Download "Parallel to MIPI CSI-2 TX Bridge"

Transcription

1 January 2015 Reference Design RD1183 Introduction The Mobile Industry Processor Interface (MIPI) has become a specification standard for interfacing components in consumer mobile devices. The MIPI Camera Serial Interface 2 (CSI-2) specification provides a protocol layer interface definition, which is used to interface with Cameras and Image Sensors. The Parallel to MIPI CSI-2 TX Bridge Reference Design allows users to deliver data to a MIPI CSI-2 compatible receiver such an ISP (Image Signal Processor) from a standard parallel video interface. See Figure 1. Figure 1. CSI-2 Interface Camera/Image Sensor Contains: HS Transmitter LP Transmitter CCI Slave (1 2 C Interface) Typical Device Examples: Cameras and Image Sensors Clock lane + Clock lane - Data lane 3 + Data lane 3 - Data lane 2 + Data lane 2 - Data lane 1 + Data lane 1 - Data lane 0 + Data lane 0 - SCL SDA Processor Contains: HS Receiver LP Receiver LP Transmitter (Optional) Typical Device Examples: ISP (Image Signal Processor) Applications Processor Key Features Interfaces to MIPI CSI-2 Receiving Devices Supports Unidirectional HS (High Speed) Mode Supports Bidirectional LP (Low Power) Mode Serializes HS (High Speed) data from up to four data lanes Supports all CSI-2 compatible video formats (RAW, YUV, RGB and User Defined) 2015 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. 1 RD1183_1.5

2 Figure 2. Parallel to MIPI CSI-2 TX Bridge Parallel Interface CSI-2 Interface Clock lane + Clock lane - Camera Pixel clock FV LV Pixel data Lattice MachXO2 Data lane 3 + Data lane 3 - Data lane 2 + Data lane 2 - Processor Data lane 1 + Data lane 1 - Data lane 0 + Data lane 0 - SCL SDA 6-bits to 24-bits depending on the output format desired Functional Description The Parallel to MIPI CSI-2 TX Bridge Reference Design converts a standard parallel video interface into CSI-2 byte packets. It then serializes HS data and controls LP (Low Power) and HS (High Speed) data transfers using the Lattice RD1182, MIPI D-PHY Reference IP. The input interface for the design consists of a data bus (PIXDATA), line and frame valid indicators (FV and LV) and a clock (PIXCLK). The output interface consists of HS and LP signals that must be connected together using an external resistor network, which is described in the Unidirectional Transmit HS Mode and Bidirectional LP Mode Interface Implementation section of this document. Further information regarding this resistor network can also be found in the Lattice RD1182, MIPI D-PHY Reference IP documentation. HS and LP signals for the clock lane and data lanes are provided on DCK, D0, D1, D2, D3 and LPCLK, LP0, LP1, LP2, and LP3 signals respectively. Include parameters control the amount of data ports available for HS and LP modes at the top level depending on the number of data lanes used. Figure 3. Bridge Input Signal Format FV DE PIXDATA [word_width-1:0] The top level design (top.v) consists of five modules: byte_packetizer.v Converts parallel data to byte packets. Appends Packet Header and Checksum. lp_hs_dly_ctrl.v Controls time delay between clock and data lanes when entering and exiting HS mode. Controls time delay from when HS mode is entered to when data is placed on the data bus. dphy_tx_inst.v Serializes byte data using iddrx4 gearbox primitives. Controls high impedance and bi-directional states of HS and LP signals. pll_pix2byte_gen.v Converts pixel clock to HS clock and byte clocks. Output frequencies depend on input clock, input bus width and number of MIPI data lanes. colorbar_gen.v Pattern generator capable of generating a colorbar or walking 1's pattern. 2

3 Figure 4. Top Level Block Diagram resetn PLL byte_clk pixclk FV LV Pixdata[35:0] VC[1:0] WC[15:0] Byte Packetizer Parallel Packet to Byte Header Packet Append Checksum Append LP_HS_DELAY_CNTRL LP_clk[1:0] LP_data[1:0] Byte_D0[7:0] Byte_D1[7:0] Byte_D3[7:0] Byte_D2[7:0] D-PHY Reference IP Parallel to MIPI CSI-2 TX Bridge To control the ports defined at the top level, `define compiler directives are used. These compiler directives can be found in compiler_directives.v Table 1. Compiler Directives Defined in compiler_directives.v Directive Description `define HS_3 Generates IO for four HS data lanes. `define HS_2 Generates IO for three HS data lanes. Overridden if HS_3 is defined. `define HS_1 Generates IO for two HS data lanes Overridden if HS_3 or HS_2 is defined. `define HS_0 Generates IO for one HS data lane. Overridden if HS_3, HS_2, or HS_1 is defined. `define LP_CLK Generates IO for LP mode on clock lane `define LP_0 Generates IO for LP mode on data lane 0 `define LP_1 Generates IO for LP mode on data lane 1 `define LP_2 Generates IO for LP mode on data lane 2 `define LP_3 Generates IO for LP mode on data lane 3 Design parameters control other features of the design. These design parameters are located at the top of the module declaration in top.v. Table 2. Top Level Module Parameters Parameter Options Description VC 2-bit Virtual Channel value Virtual Channel number appended to the Packet Header WC 16-bit Word Count value Word Count number appended to the Packet Header. Correlates to the number of bytes to be transferred in a Long Packet. word_width Up to 36 bits Bus width of pixel data bus input DT 6-bit Data Type Value Data Type appended to Packet Header for Long Packet transfers testmode 0 = off 1 = on Adds colorbar pattern generator for testing purposes. Pattern generator utilizes reset_n and PIXCLK. crc16 0 = off 1 = on Appends checksum after Long Packet transfers. Turning off will reduce resource utilization and append 16'hFFFF in place of checksum. Top level IO ports are defined as follows for top.v. The number of IO is dependent on the number of data lanes defined by compiler_directives.v. 3

4 Table 3. Top Level Design Port List Signal Direction Description reset_n Input Resets module (Active low ) DCK Output HS (High Speed) Clock D0 Output HS Data lane 0 D1 Output HS Data lane 1 D2 Output HS Data lane 2 D3 Output HS Data lane 3 LPCLK [1:0] Bidirectional LP clock lane; LPCLK[1] = P wire, LPCLK[0] = N wire LP0 [1:0] Bidirectional LP data lane 0; LP0[1] = P wire, LP0[0] = N wire LP1 [1:0] Bidirectional LP data lane 1; LP1[1] = P wire, LP1[0] = N wire LP2 [1:0] Bidirectional LP data lane 2; LP2[1] = P wire, LP2[0] = N wire LP3 [1:0] Bidirectional LP data lane 3; LP3[1] = P wire, LP3[0] = N wire PIXCLK Input Parallel Pixel Clock FV Input Parallel Data Frame Valid Indicator LV Input Parallel Data Line Valid Indicator PIXDATA[*:0] Input Parallel Data Bus The top level module instantiates and connects five main modules. In addition, a PLL module controls clocking for the entire design. The input of the PLL is pixel clock. The PLL outputs two high speed oddrx4 gearbox clocks (0 degree and one with 90 degree phase shifts), the byte clock and the CRC clock. The clock equations for PLL output ports are shown in Table 4. Table 4. Clocking for the PLL Output Ports PLL Module Port Name Clock description Clock Equation CLKI PLL Input CLKI CLKOP oddrx4 gearbox Clock CLKOP = CLKI * word_width / (8 * lane_width) *4 CLKOS oddrx4 gearbox Clock (90 degree shift) Same as CLKOP, but with static phase shift of 90 degrees CLKOS2 Byte Clock CLKOS2 = CLKI * word_width / (8 * lane_width) The PLL is configured using IPExpress in the Lattice Diamond Software. The PLL comes pre-configured for the appropriate clock conversion ratios based on the mode and number of MIPI data lanes used. It can also be adjusted and reconfigured to individual design needs by double clicking on pll_pix2byte.ipx in the file list. An IPExpress configuration GUI will open to adjust the PLL. 4

5 Figure 5. IPExpress Configuration Page for pll_pix2byte.ipx: BYTE_PACKETIZER Module Description: The Byte_Packetizer module converts pixels to one to four bytes depending on the number of MIPI data lanes defined. The input interface to the module is the pixel data bus. Pixel data is formatted from MSB to LSB for RAW and YUV data types. The RGB data type is formatted as R, then G, then B from MSB to LSB respectively. Additional, input ports include the byte clock and CRC clock. The virtual channel number and word count are also available as interface ports. These ports are clocked into a register on the rising edge and falling edges of FV as well as the rising edge of LV and appended to the Packet Header. By default, the reference design controls the Virtual Channel and Word Count ports through VC and WC parameters in top.v. However, the user can dynamically control VC and WC if desired. Parameters for the BYTE_PACKETIZER include word_width (bus width of the pixel bus), lane_width (number of byte lanes), dt (data type), crc16 enable. Different NGOs in the */NGO/* folder are called depending on the mode defined. Within the module the pixel data is converted to bytes. If the data is going to be a long packet, identified by LV (Line Valid), the CRC checksum will be calculated over the data and appended to the end of the long packet. Also appended to the data stream in this module is the Packet Header for all packet types. The number of horizontal pixels the LV (Line Valid) is high should correlate to an integer multiple of the number of bytes used at the output. It is recommend that active lines be truncated or extended to meet this criteria. This will ensure proper readout of all pixels and a correct checksum calculation. 5

6 To ensure that the input pixel data is an integer multiple of the output byte data, the following equation can be used. The LV must be held for an integer number of byte clocks. If "number of byte clocks" does not calculate to an integer value, adjust the number of pixel clock cycles for which LV is active. number of byte clocks = [(number of pixels) * (bits per pixel)] / [8 bits * (number of data lanes)] Output ports for the BYTE_PACKETIZER module include the 8-bit data buses for each lane and an enable signal. The hs_en signal goes active high when any short packet or long packet is to be transmitted. LP_HS_DELAY_CNTRL Module Description: The LP_HS_DELAY_CNTRL module uses the hs_en input from the BYTE_PACKETIZER and adds delays so that it is ready for transmission. There are controllable delay parameters available in the module header. These control the time delay between when the clock lane and data lanes transition from LP to HS mode as well as from HS mode to LP mode. It also controls when the data starts with respect to when it entered LP mode. LP11-LP01-LP00 transitions are also controlled with one byte clock between transitions. This module is open source and available for any user modifications desired in Lattice FPGA devices. Table 5. LP_HS_DELAY_CNTRL Module Parameters Parameter LPHS_clk2data_dly LPHS_startofdata_dly HSLP_data2clk_dly HSLP_endofdata_dly sizeofstartcntr sizeofendcntr Description Number of clocks to delay between the MIPI clock lane and MIPI data lanes transitioning from LP to HS mode Number of clocks to delay the MIPI data from the LP to HS mode transition Number of clocks to delay the HS to LP mode transition between the MIPI data lanes and MIPI clock lane Number of clocks to delay the MIPI data from the HS to LP mode transition Size for the start timer counter. Number of bits to count LPHS_clk2data_dly+LPHS_startofdata_dly Size for the end timer counter. Number of bits to count HSLP_data2clk_dly+HSLP_endofdata_dly 6

7 Figure 6. Timing Diagram for LP_HS_DELAY_CNTRL Delay Parameters Clock Lane P Channel Clock Lane N Channel Data Lane P Channel Data Lane N Channel LPHS_clk2data_dly LPHS_startofdata_dly HSLP_endofdata_dly HSLP_data2clk_dly Test Mode and colorbar_gen Module Description: This reference design also includes a colorbar pattern generator. This allows the user to initially control and drive a display panel with minimal external controls needed from the receiving side. The design is place in test mode setting the top level design parameter testmode = 1. When this is set an additional module colorbar_gen is instantiated at the top level and takes over the all input controls (FV, LV and PIXDATA) with the exception of reset_n and PIXCLK. Figure 7. RTL Block Diagram of colorbar_gen Instantiation When testmode=1: PIXDATA[23:0] LV FV reset_n [23:0] un1_reset_n pll_pix2byte CLKI RST CLKOP CLKOS CLKOS2 CLKOS3 LOCK u_pll_pix2byte BYTE_PACKETIZER_24s_2s_36_1s_1s reset_n PIXCLK FV hs_en LV byte_d3[7:0] byte_clk crc_clk byte_d2[7:0] [23:0] PIXDATA[23:0] byte_d1[7:0] 00 VC[1:0] byte_d0[7:0] [15:0] WC[15:0] [7:0] [7:0] [7:0] [7:0] PIXCLK colorbar_gen_480_620_800_830_40_44_148_5_5_0s fv lv rstn vsync clk hsync data[23:0] u_colorbar_gen [23:0] 0 1 [15:0] word_cnt[15:0] u_byte_packetizer 7

8 Packaged Design Parallel to MIPI CSI-2 TX Bridge The Parallel to MIPI CSI-2 TX Bridge Reference Design is available for Lattice MachXO2 TM devices. The reference design immediately available on latticesemi.com is configured for RAW10, 2-lane mode. Other designs are available through the bridge request form. The packaged design contains a Lattice Diamond project within the *\impl\ folder configured for the MachXO2 device. Verilog source is contained within the *\rtl\ folder. The Verilog test bench is contained within the tb folder. The simulation folder contains an Aldec Active-HDL project. It is recommended that users access the active HDL Simulation environment through the Lattice Diamond Software and the simulation setup script contained within the project. For details on how to access the design simulation environment see the Functional Simulation section of this document. Figure 8. Packaged Design Directory Structure 8

9 Functional Simulation Parallel to MIPI CSI-2 TX Bridge The simulation environment and testbench Parallel2CSI2_tb_*.v instantiates the top level design module. The top level design inputs are driven with a generated pattern from the colorbar_gen module. Figure 9. Simulation Waveforms The simulation environment can be accessed by double clicking on the <name>.spf script file in Lattice Diamond from the file list. After clicking OK, Aldec ActiveHDL opens to the pop-up windows. Compile the project and initialize the simulation. Add signals to the waveform viewer that are desired to be viewer and run the simulation. 9

10 External Resistor Network Implementation for D-PHY TX Parallel to MIPI CSI-2 TX Bridge As described in the Lattice RD1182, MIPI D-PHY Reference IP documentation, an external resistor network is needed to accommodate the LP and HS mode transitioning on the same signal pairs as well as the lower 200 mv common mode voltage during HS clock and data transfers. The resistor network needed for MIPI TX implementations is provided below. Figure 10. Unidirectional Transmit HS Mode and Bidirectional LP Mode Interface Implementation Lattice FPGA D-PHY TX Module iddrx4 50 ohm LVCMOS ohm DCKP LVDS25E LVCMOS ohm DCKN 50 ohm 50 ohm LVCMOS ohm D0P LVDS25 LVCMOS ohm D0N 50 ohm MIPI D-PHY RX Device IO Controller LVCMOS12 LVDS25 50 ohm 320 ohm D3P LVCMOS ohm 50 ohm D3N Device Pinout and Bank Voltage Requirements Choosing a proper pinout to interface with another D-PHY device is essential to meet functional and timing requirements. The following are rules for choosing a proper pinout on MachXO2 devices: Bank 0 should be used for HS outputs (DCK, D0, D1, D2, D3) with the TX D-PHY IP since these pins utilize oddrx4 gearbox primitives The VCCIO voltage for banks 0 should be 2.5 V The HS input clock (DCK) for the RX DPHY IP should use an edge clock on bank 2 The HS data signals (D0, D1, D2, D3) for the RX and TX DPHY IP s should only use A/B IO pairs LP signals (LPCLK, LP0, LP1, LP2, LP3) for RX and TX DPHY IP s can use any other bank The VCCIO voltage for the bank containing LP signals (LPCLK, LP0, LP1, LP2, LP3) should be 1.2 V When in doubt, run the pinout through Lattice Diamond software can check for errors With the rules mentioned above a recommend pinout is provided for the most common packages chosen for this IP. For the MachXO2 the cs132bga is the most common package. The pinouts chosen below are pin compatible with MachXO2-1200, MachXO and MachXO devices. 10

11 Table 6. Recommended TX Pinout and Package Signal MachXO2 1200/2000/4000 cs132bga Package DCK_p Bank 0 A7 DCK_n B7 D0_p B5 D0_n C6 D1_p A2 D1_n B3 D2_p A10 D2_n C11 D3_p C12 D3_n A12 LPCLK [1] Bank 1 E12 LPCLK [0] E14 LP0 [1] E13 LP0 [0] F12 LP1 [1] F13 LP1 [0] F14 LP2 [1] G12 LP2 [0] G14 LP3 [1] G13 LP3 [0] H12 Table 7. TX IO Timing Device Family Speed Grade 262Mhz Speed Grade 315Mhz Speed Grade 378Mhz MachXO2 TM Data Valid Before Clock (ps) Data Valid After Clock (ps) Data Valid Before Clock (ps) Data Valid After Clock (ps) Data Valid Before Clock (ps) Data Valid After Clock (ps) Table 8. TX Maximum Operating Frequencies by Configuration 1 Device Configuration Speed Grade -4 (MHz) Speed Grade -5 (MHz) Speed Grade -6 (MHz) Speed Grade -7 (MHz) Speed Grade -8 (MHz) Family PIXCLK byte_clk PIXCLK byte_clk PIXCLK byte_clk PIXCLK byte_clk PIXCLK byte_clk ECP5 TM RAW10, 2 Data Lane (LP+HS)- LSE RAW10, 2 Data Lanes (LP+HS)- Syn MachXO2 RAW10, 1 Data Lane (LP+HS) RAW10, 2 Data Lanes (LP+HS) RAW10, 2 Data Lanes (LP+HS) - LSE RAW10, 4 Data Lanes (LP+HS) RAW10, 2 Data Lanes (LP+HS) - LSE MachXO3L RAW10, 2 Data Lanes (LP+HS) - Syn The maximum operating frequencies were obtained by post P&R timing analysis. They do not correlate to clocking ratios (obtained from PLL clock equations) used for proper design operation. 11

12 Resource Utilization Parallel to MIPI CSI-2 TX Bridge The resource utilization tables below represent the device usage in various configurations of the D-PHY IP. Resource utilization was performed on the IP in configurations of 1, 2 and 4 data lanes. For each of these configurations LP mode on the data lanes used was turned on. In addition, HS and LP clock signals were available for each configuration. Table 9. TX Resource Utilization Device Family Configuration Register LUT EBR PLL Gearbox Clock Divider ECP5 RAW10, 2 Data Lanes (LP+HS) - LSE RAW10, 2 Data Lanes (LP+HS) - Syn RAW10, 1 Data Lanes (LP+HS) MachXO2 RAW10, 2 Data Lanes (LP+HS) RAW10, 4 Data Lanes (LP+HS) MachXO3L RAW10, 2 Data Lanes (LP+HS) - LSE RAW10, 2 Data Lanes (LP+HS) - Syn References MIPI Alliance Specification for Camera Serial Interface 2 (CSI-2) V1.01 MIPI Alliance Specification for D-PHY V1.1 Technical Support Assistance techsupport@latticesemi.com Internet: 12

13 Revision History Date Version Change Summary January Added support for ECP5 device family. Updated the Packaged Design section. Updated Figure 8, Packaged Design Directory Structure. Updated the Device Pinout and Bank Voltage Requirements section. Updated Table 8, TX Maximum Operating Frequencies by Configuration. Updated the Resource Utilization section. Updated Table 9, TX Resource Utilization. Corrected version number on first page footer. Previous version should be 01.4; updated this version to April Added support for MachXO3L device family. Updated Functional Description section. Revised top level design (top.v) main modules. Updated Functional Simulation section. Revised.spf script file name. Updated Packaged Design section. Updated Figure 8, Packaged Design Directory Structure. Updated the Device Pinout and Bank Voltage Requirements section. Updated Table 8, TX Maximum Operating Frequencies by Configuration. Updated the Resource Utilization section. Updated Table 9, TX Resource Utilization. Added support for Lattice Diamond 3.1 design software.e March Updated Figure 6, Timing Diagram for LP_HS_DELAY_CNTRL Delay Parameters. December Updated the BYTE_PACKETIZER Module Description section. August Updated Table 8 title to TX Maximum Operating Frequencies by Configuration and added footnote Initial release. 13

MIPI CSI2-to-CMOS Parallel Sensor Bridge

MIPI CSI2-to-CMOS Parallel Sensor Bridge MIPI CSI2-to-CMOS April 2014 Introduction Reference Design RD1146 The majority of image sensors in the consumer market use the MIPI CSI2 interface. The Mobile Industry Processor Interface (MIPI) has become

More information

MIPI D-PHY Bandwidth Matrix and Implementation Technical Note

MIPI D-PHY Bandwidth Matrix and Implementation Technical Note MIPI D-PHY Bandwidth Matrix and Implementation FPGA-TN-02090 Version 1.1 January 2019 Contents Acronyms in This Document... 4 1. Introduction... 5 2. Video Format... 6 2.1. Video Resolution and Pixel Clock...

More information

MIPI D-PHY Bandwidth Matrix Table User Guide

MIPI D-PHY Bandwidth Matrix Table User Guide FPGA-UG-02041 Version 1.1 May 2018 Contents Acronyms in This Document... 4 1. Introduction... 5 2. Video Format... 6 2.1. Video Resolution and Pixel Clock... 7 2.2. Color Depth... 8 3. MIPI CSI-2/DSI Interfaces...

More information

MIPI D-PHY to CMOS Interface Bridge Soft IP

MIPI D-PHY to CMOS Interface Bridge Soft IP Supporting MIPI CSI-2 and MIPI DSI for Image Sensors and Displays FPGA-IPUG-02004 Version 1.3 January 2017 Contents 1. Introduction... 4 1.1. Quick Facts... 5 1.2. Features... 5 1.3. Conventions... 5 1.3.1.

More information

Byte-to-Pixel Converter IP User Guide

Byte-to-Pixel Converter IP User Guide FPGA-IPUG-02027 Version 1.0 July 2017 Contents 1. Introduction... 4 1.1. Quick Facts... 4 1.2. Features... 5 1.3. Conventions... 5 1.3.1. Nomenclature... 5 1.3.2. Data Ordering and Data Types... 5 1.3.3.

More information

ice40 UltraPlus Display Frame Buffer User Guide

ice40 UltraPlus Display Frame Buffer User Guide FPGA-UG-02009 Version 1.1 March 2017 Contents 1. Introduction... 3 1.1. Clock Generator Module... 3 1.2. Main Control Module... 3 1.3. SPRAM Module... 4 1.4. Decompress Module... 4 1.5. 8BIT2RGB Module...

More information

MDIO Master and Slave Controllers

MDIO Master and Slave Controllers November 2013 Introduction Reference Design RD1194 Management Data Input/Output Interfaces, or, are specified in the IEEE 802.3 standard and intended to provide a serial interface to transfer management

More information

I 2 C Master Control FSM. I 2 C Bus Control FSM. I 2 C Master Controller

I 2 C Master Control FSM. I 2 C Bus Control FSM. I 2 C Master Controller February 2015 Introduction Reference Design RD1139 I 2 C or Inter-Integrated Circuit is a popular serial interface protocol that is widely used in many electronic systems. The I 2 C interface is a two-wire

More information

I 2 C Slave Controller. I 2 C Master o_timeout_intr

I 2 C Slave Controller. I 2 C Master o_timeout_intr February 2015 Reference Design RD1140 Introduction I 2 C, or Inter-Integrated Circuit, is a popular serial interface protocol that is widely used in many electronic systems. The I 2 C interface is a two-wire

More information

1:2 and 1:1 MIPI DSI Display Interface Bridge Soft IP User Guide

1:2 and 1:1 MIPI DSI Display Interface Bridge Soft IP User Guide 1:2 and 1:1 MIPI DSI Display Interface Bridge Soft IP FPGA-IPUG-02001 Version 1.2 November 2016 Contents 1. Introduction... 4 1.1. Quick Facts... 4 1.2. Features... 4 1.3. Conventions... 5 1.3.1. Nomenclature...

More information

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n October 2012 Reference Design RD1138 Introduction The Universal Asynchronous Receiver/Transmitter (UART) performs serial-to-parallel conversion on data characters received from a peripheral device or a

More information

i_csn i_wr i_rd i_cpol i_cpha i_lsb_first i_data [15:0] o_data [15:0] o_tx_ready o_rx_ready o_rx_error o_tx_error o_tx_ack o_tx_no_ack

i_csn i_wr i_rd i_cpol i_cpha i_lsb_first i_data [15:0] o_data [15:0] o_tx_ready o_rx_ready o_rx_error o_tx_error o_tx_ack o_tx_no_ack October 2012 Introduction Reference Design RD1142 The Serial Peripheral Interface (SPI) is used primarily for synchronous serial communication between a host processor and its peripherals. The SPI bus

More information

LED1 LED2. Capacitive Touch Sense Controller LED3 LED4

LED1 LED2. Capacitive Touch Sense Controller LED3 LED4 October 2012 Introduction Reference Design RD1136 Capacitive sensing is a technology based on capacitive coupling which takes human body capacitance as input. Capacitive touch sensors are used in many

More information

UG0850 User Guide PolarFire FPGA Video Solution

UG0850 User Guide PolarFire FPGA Video Solution UG0850 User Guide PolarFire FPGA Video Solution Microsemi Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Sales: +1 (949) 380-6136

More information

Gamma Corrector IP Core User Guide

Gamma Corrector IP Core User Guide Gamma Corrector IP Core User Guide March 2015 IPUG64_1.3 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 5 Chapter 2. Functional Description... 6 Block Diagram... 6 Gamma Correction

More information

Reference Design RD1065

Reference Design RD1065 April 011 Reference Design RD1065 Introduction Most microprocessors have a General Purpose Input/Output (GPIO) interface to communicate with external devices and peripherals through various protocols These

More information

Implementing High-Speed Interfaces with MachXO3 Devices

Implementing High-Speed Interfaces with MachXO3 Devices March 25 Introduction Technical Note TN28 In response to the increasing need for higher data bandwidth, the industry has migrated from the traditional Single Data Rate (SDR) to the Double Data Rate (DDR)

More information

Importing HDL Files with Platform Manager 2

Importing HDL Files with Platform Manager 2 August 2014 Introduction Technical Note TN1287 The Platform Manager 2 is a fast-reacting, programmable logic based hardware management controller. Platform Manager 2 is an integrated solution combining

More information

8b/10b Encoder/Decoder

8b/10b Encoder/Decoder 8b/b Encoder/Decoder February 22 Introduction Reference Design RD2 Many serial data transmission standards utilize 8b/b encoding to ensure sufficient data transitions for clock recovery. This reference

More information

Each I2C master has 8-deep transmit and receive FIFOs for efficient data handling. SPI to Dual I2C Masters. Registers

Each I2C master has 8-deep transmit and receive FIFOs for efficient data handling. SPI to Dual I2C Masters. Registers February 205 Introduction Reference Design RD73 I2C and SPI are the two widely used bus protocols in today s embedded systems. The I2C bus has a minimum pin count requirement and therefore a smaller footprint

More information

Lattice Embedded Vision Development Kit User Guide

Lattice Embedded Vision Development Kit User Guide FPGA-UG-0215 Version 1.3 November 2018 Contents Acronyms in This Document... 4 1. Introduction... 5 2. Functional Description... 6 2.1. CrossLink... 6 2.2. ECP5... 7 2.3. SiI1136... 7 3. Demo Requirements...

More information

BSCAN2 Multiple Scan Port Linker

BSCAN2 Multiple Scan Port Linker March 2015 Introduction Reference Design RD1002 According to the IEEE 1149.1 Boundary Scan System, every complex system can have more than one boundary scan compliant scan port. This design adds the capability

More information

MachXO2, MachXO3 and ECP5 7:1 LVDS Video Interface

MachXO2, MachXO3 and ECP5 7:1 LVDS Video Interface September 25 Introduction Reference Design RD93 Source synchronous interfaces consisting of multiple data bits and clocks have become a common method for moving image data within electronic systems. A

More information

Minimizing System Interruption During Configuration Using TransFR Technology

Minimizing System Interruption During Configuration Using TransFR Technology October 2015 Technical Note TN1087 Introduction One of the fundamental benefits of using an FPGA is the ability to reconfigure its functionality without removing the device from the system. A number of

More information

MachXO2 Low Power Control Demo User s Guide

MachXO2 Low Power Control Demo User s Guide User s Guide April 2012 UG58_01.0 Introduction MachXO2 Low Power Control Demo There are many power saving features in the MachXO2 family of devices, such as bandgap, on-chip oscillator, PLL, etc. The purpose

More information

MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA

MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA 2015.12.23 MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA AN-754 Subscribe Introduction to MIPI D-PHY The Mobile Industry Processor Interface (MIPI) is an industry consortium

More information

Dual Boot and Background Programming with Platform Manager 2

Dual Boot and Background Programming with Platform Manager 2 Dual Boot and Background Programming March 2015 Technical te TN1284 Introduction The Platform Manager 2 is a fast-reacting, programmable logic based hardware management controller. Platform Manager 2 is

More information

SV3C DPRX MIPI D-PHY Analyzer. Data Sheet

SV3C DPRX MIPI D-PHY Analyzer. Data Sheet SV3C DPRX MIPI D-PHY Analyzer Data Sheet Table of Contents Table of Contents Table of Contents... 1 List of Figures... 2 List of Tables... 2 Introduction... 3 Overview... 3 Key Benefits... 3 Applications...

More information

AN 754: MIPI D-PHY Solution with Passive Resistor Networks in Intel Low-Cost FPGAs

AN 754: MIPI D-PHY Solution with Passive Resistor Networks in Intel Low-Cost FPGAs AN 754: MIPI D-PHY Solution with Passive Resistor Networks in Intel Low-Cost FPGAs Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents AN 754: MIPI D-PHY Solution with Passive

More information

SV3C DPRX MIPI D-PHY Analyzer. Data Sheet

SV3C DPRX MIPI D-PHY Analyzer. Data Sheet SV3C DPRX MIPI D-PHY Analyzer Data Sheet Table of Contents Table of Contents Table of Contents... 1 List of Figures... 2 List of Tables... 3 Introduction... 4 Overview... 4 Key Benefits... 4 Applications...

More information

Lattice PCI Express x4 Scatter-Gather DMA Demo Verilog Source Code User s Guide

Lattice PCI Express x4 Scatter-Gather DMA Demo Verilog Source Code User s Guide DMA Demo Verilog Source Code User s Guide January 2008 UG06_01.0 Introduction This user s guide provides details of the Verilog code used for the DMA Demo. A block diagram of the entire design is provided

More information

Color Space Converter

Color Space Converter March 2009 Reference Design RD1047 Introduction s (CSC) are used in video and image display systems including televisions, computer monitors, color printers, video telephony and surveillance systems. CSCs

More information

LatticeXP2 Soft Error Detection (SED) Usage Guide

LatticeXP2 Soft Error Detection (SED) Usage Guide Detection (SED) Usage Guide October 2012 Introduction Technical Note TN1130 Soft errors occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon

More information

Enhanced Closed-loop Trim with I 2 C Control for Platform Manager Devices

Enhanced Closed-loop Trim with I 2 C Control for Platform Manager Devices Enhanced Closed-loop Trim with I 2 C Control for Platform Manager Devices December 2010 Introduction Reference Design RD1078 Modern microprocessors and FPGAs require accurate power supply voltages, often

More information

2:1 HDMI Switch Demo User Guide

2:1 HDMI Switch Demo User Guide FPGA-UG-02036-A October 2017 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Functional Description... 5 2.1. Overview... 5 2.2. SiI1127A... 5 2.3. SiI1136... 5 2.4. ECP5 Mico32 Design...

More information

Median Filter IP Core User s Guide

Median Filter IP Core User s Guide Median Filter IP Core User s Guide December 2010 IPUG87_01.0 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 4 Chapter 2. Functional Description... 6 Key Concepts... 6 Block

More information

Accelerating MIPI Interface Development and Validation

Accelerating MIPI Interface Development and Validation Accelerating MIPI Interface Development and Validation 1 Mobile Industry Processor Interface 2 The Standard for Mobile 3 The Standard for Mobile & Mobile Influenced Industry 4 Influenced by Highly Accomplished

More information

ice40 Ultra Self-Learning IR Remote User s Guide

ice40 Ultra Self-Learning IR Remote User s Guide ice40 Ultra Self-Learning IR Remote User s Guide June 2014 UG74_1.0 Introduction ice40 Ultra Self-Learning IR Remote User s Guide This guide describes how to use the ice40 Ultra Mobile Development Platform

More information

MachXO2 sysclock PLL Design and Usage Guide

MachXO2 sysclock PLL Design and Usage Guide March 2017 Technical Note TN1199 Introduction MachXO2 devices support a variety of I/O interfaces such as display interfaces (7:1 LVDS) and memory interfaces (LPDDR, DDR, DDR2). In order to support applications

More information

CrossLink sysclock PLL/DLL Design and Usage Guide

CrossLink sysclock PLL/DLL Design and Usage Guide CrossLink sysclock PLL/DLL Design and Usage Guide FPGA-TN-02015 Version 1.1 July 2016 Contents Acronyms in This Document... 4 1. Introduction... 5 2. Clock/Control Distribution Network... 5 3. CrossLink

More information

LatticeECP2/M Soft Error Detection (SED) Usage Guide

LatticeECP2/M Soft Error Detection (SED) Usage Guide Detection (SED) Usage Guide July 2008 Introduction Technical Note TN1113 Soft errors occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

PCI Express Basic Demo Verilog Source Code User s Guide

PCI Express Basic Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2010 UG15_01.3 Introduction This user s guide provides details of the Verilog code used for the Lattice. A block diagram of the entire design is provided followed

More information

JESD204B IP Core User Guide

JESD204B IP Core User Guide FPGA-IPUG-02010 Version 2.3 June 2017 Contents 1. Introduction... 4 1.1. Quick Facts... 4 1.2. Features... 5 1.3. What is Not Supported... 5 1.4. Conventions... 6 1.5. Data Ordering and Data Types... 6

More information

Lattice USB Type-C Solution Design Document

Lattice USB Type-C Solution Design Document October 2016 Introduction Reference Design RD1210 This design document describes the implementation details of the following Lattice FPGA based USB Type-C solutions: CD/PD for Hosts/Devices Dual Role Port

More information

Power Estimation and Management for MachXO Devices

Power Estimation and Management for MachXO Devices September 2007 Technical Note TN1090 Introduction One requirement for design engineers using programmable devices is to be able to calculate the power dissipation for a particular device used on a board.

More information

MachXO3 Soft Error Detection (SED)/ Correction (SEC) Usage Guide

MachXO3 Soft Error Detection (SED)/ Correction (SEC) Usage Guide March 2017 Technical Note TN1292 Introduction Memory errors can occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon first became an

More information

LatticeSC MACO Core LSCDR1X18 Low-Speed Clock and Data Recovery User s Guide

LatticeSC MACO Core LSCDR1X18 Low-Speed Clock and Data Recovery User s Guide Low-Speed Clock and Data Recovery User s Guide January 2008 Technical Note TN1122 Introduction The LatticeSC (low-speed clock and data recovery) MACO core is a fully integrated low-power clock and data

More information

CrossLink Hardware Checklist Technical Note

CrossLink Hardware Checklist Technical Note FPGA-TN-02013 Version 1.1 August 2017 Contents Acronyms in This Document... 3 Introduction... 4 Power Supplies... 5 CrossLink MIPI D-PHY and PLL Power Supplies... 5 Power Estimation... 6 Configuration

More information

MachXO2 SED Usage Guide

MachXO2 SED Usage Guide January 2017 Introduction Technical Note TN1206 Memory errors can occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon first became

More information

2D Edge Detector IP Core User s Guide

2D Edge Detector IP Core User s Guide 2D Edge Detector IP Core User s Guide February 2011 IPUG86_01.0 Table of Contents Chapter 1. Introduction... 3 Quick Facts... 3 Features... 3 Chapter 2. Functional Description... 4 Key Concepts... 4 Block

More information

MIPI CSI-2 Receiver Subsystem v2.2

MIPI CSI-2 Receiver Subsystem v2.2 MIPI CSI-2 Receiver Subsystem v2.2 Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Sub-Core Details................................................................... 6

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

Power Estimation and Management for LatticeXP2 Devices

Power Estimation and Management for LatticeXP2 Devices February 2007 Introduction Technical Note TN1139 One requirement for design engineers using programmable devices is the ability to calculate the power dissipation for a particular device used on a board.

More information

SD Card Controller IP Specification

SD Card Controller IP Specification SD Card Controller IP Specification Marek Czerski Friday 30 th August, 2013 1 List of Figures 1 SoC with SD Card IP core................................ 4 2 Wishbone SD Card Controller IP Core interface....................

More information

It is well understood that the minimum number of check bits required for single bit error correction is specified by the relationship: D + P P

It is well understood that the minimum number of check bits required for single bit error correction is specified by the relationship: D + P P October 2012 Reference Design RD1025 Introduction This reference design implements an Error Correction Code (ECC) module for the LatticeEC and LatticeSC FPGA families that can be applied to increase memory

More information

Mixed-Language Simulation with Lattice IP Designs Using Active-HDL

Mixed-Language Simulation with Lattice IP Designs Using Active-HDL February 2007 Introduction Technical Note TN1146 Lattice provides pre-tested, reusable functions that can be easily integrated into designs; thereby, allowing the designer to focus on their unique system

More information

Interlaken IP Core (2nd Generation) Design Example User Guide

Interlaken IP Core (2nd Generation) Design Example User Guide Interlaken IP Core (2nd Generation) Design Example User Guide UG-20051 2017.09.19 Subscribe Send Feedback Contents Contents 1 Quick Start Guide... 3 1.1 Directory Structure... 4 1.2 Design Components...

More information

LatticeXP2 Hardware Checklist

LatticeXP2 Hardware Checklist September 2013 Technical Note TN1143 Introduction Starting a complex system with a large FPGA hardware design requires that the FPGA designer pay attention to the critical hardware implementation to increase

More information

UNH-IOL MIPI Alliance Test Program

UNH-IOL MIPI Alliance Test Program CSI-2 Receiver Protocol Conformance Test Report UNH-IOL 121 Technology Drive, Suite 2 Durham, NH 03824 +1-603-862-0090 mipilab@iol.unh.edu +1-603-862-0701 Engineer Name engineer@company.com Host Company

More information

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices for LatticeECP/EC and LatticeXP Devices September 2012 Introduction Technical Note TN1052 One of the requirements when using FPGA devices is the ability to calculate power dissipation for a particular

More information

LatticeECP3 and ECP5 10 Gb Ethernet MAC IP Core User Guide

LatticeECP3 and ECP5 10 Gb Ethernet MAC IP Core User Guide LatticeECP3 and ECP5 December 2014 IPUG114_1.0 Table of Contents Chapter 1. Introduction 3... Quick Facts... 3 Features... 3 Chapter 2. Functional Description... 5 Receive MAC... 7 Transmit MAC... 9 Signal

More information

Using the LatticeMico8 Microcontroller with the LatticeXP Evaluation Board

Using the LatticeMico8 Microcontroller with the LatticeXP Evaluation Board July 2007 Introduction Technical Note TN1095 The LatticeMico8 is a flexible 8-bit microcontroller optimized for Lattice's leading edge families. This document describes the operation and use of a demonstration

More information

LatticeSC sysclock PLL/DLL User s Guide

LatticeSC sysclock PLL/DLL User s Guide July 2008 Introduction Technical Note TN1098 This user s guide describes the clocking resources available in the LatticeSC architecture. Details are provided for primary clocks, edge clocks, and secondary

More information

USB 3.1 Type-C Hardware Checklist

USB 3.1 Type-C Hardware Checklist USB 3.1 Type-C Hardware Checklist July 2015 Technical Note TN1299 Introduction While designing USB 3.1 Type-C solution hardware using LIF-UC devices (LIF-UC110, LIF-UC120, LIF- UC140), designers must pay

More information

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Quick Start Guide...

More information

JESD204A IP Core User s Guide

JESD204A IP Core User s Guide JESD204A IP Core User s Guide December 2010 IPUG91_01.3 Table of Contents Chapter 1. Introduction... 3 Introduction... 3 Quick Facts... 3 Features... 3 What Is Not Supported... 4 Conventions... 4 Data

More information

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

DDR2 Demo for the LatticeECP3 Serial Protocol Board User s Guide

DDR2 Demo for the LatticeECP3 Serial Protocol Board User s Guide DDR2 Demo for the LatticeECP3 Serial Protocol Board User s Guide December 2011 UG49_01.0 Introduction This document provides technical information and instructions for using the LatticeECP3 DDR2 demo design.

More information

Color Space Converter IP Core User Guide

Color Space Converter IP Core User Guide Color Space Converter IP Core User Guide April 2015 IPUG63_2.0 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 6 Chapter 2. Functional Description... 7 Color Spaces... 7 Block

More information

PCI Express Throughput Demo Verilog Source Code User s Guide

PCI Express Throughput Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2009 UG07_01.4 Introduction This user s guide provides details of the Verilog code used for the Lattice PCI Express SFIF Demo (also known as the Throughput Demo).

More information

Low Latency 100G Ethernet Design Example User Guide

Low Latency 100G Ethernet Design Example User Guide Low Latency 100G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 16.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start Guide...

More information

Low Latency 40G Ethernet Example Design User Guide

Low Latency 40G Ethernet Example Design User Guide Low Latency 40G Ethernet Example Design User Guide Subscribe UG-20025 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Quick Start Guide...1-1 Directory Structure... 1-2 Design Components...

More information

Fail-Safe Startup Sequencing During Field Upgrades with Platform Manager

Fail-Safe Startup Sequencing During Field Upgrades with Platform Manager Fail-Safe Startup Sequencing During Field Upgrades June 2012 Application Note AN6088 Introduction The Platform Manager device family is a single-chip, fully-integrated solution for supervisory and control

More information

Hezi Saar, Sr. Staff Product Marketing Manager Synopsys. Powering Imaging Applications with MIPI CSI-2

Hezi Saar, Sr. Staff Product Marketing Manager Synopsys. Powering Imaging Applications with MIPI CSI-2 Hezi Saar, Sr. Staff Product Marketing Manager Powering Imaging Applications with MIPI CSI-2 Agenda Implementation of MIPI interfaces in mobile applications and beyond Advantages of implementing MIPI camera

More information

Tri-Rate SDI PHY IP Loopback and Passthrough Sample Designs User s Guide

Tri-Rate SDI PHY IP Loopback and Passthrough Sample Designs User s Guide Tri-Rate SDI PHY IP Loopback and Passthrough Sample Designs User s Guide October 2009 UG22_01.1 Introduction When the Tri-Rate SDI PHY IP core is generated using IPexpress, two sample top-level designs

More information

Scalable Low-Voltage Signaling with LatticeSC/M Devices

Scalable Low-Voltage Signaling with LatticeSC/M Devices Scalable LowVoltage Signaling July 2011 Application Note AN8085 Introduction Differential signaling has become increasingly popular in network and telecommunications markets for a number of reasons. It

More information

icecube2 Software Release Notes

icecube2 Software Release Notes July 2012 Version 2012.06 Contents icecube2 Version 2012.06... 2 icecube2 Version 2012.03... 2 icecube2 Version 2011.12... 2 icecube2 Version 2011.09 SP2... 2 icecube2 Version 2011.09 SP1... 3 icecube2

More information

Low-Cost Serial RapidIO to TI 6482 Digital Signal Processor Interoperability with LatticeECP3

Low-Cost Serial RapidIO to TI 6482 Digital Signal Processor Interoperability with LatticeECP3 October 2010 Introduction Technical Note TN1214 The RapidIO Interconnect Architecture is an industry-standard, packet-based interconnect technology that provides a reliable, high-performance interconnect

More information

MC Channel FPGA Bridge IC. for. MIPI D-PHY Systems and SLVS to LVDS Conversion PRELIMINARY DATASHEET. Version August 2014.

MC Channel FPGA Bridge IC. for. MIPI D-PHY Systems and SLVS to LVDS Conversion PRELIMINARY DATASHEET. Version August 2014. MC20901 5 Channel FPGA Bridge IC for MIPI D-PHY Systems and SLVS to LVDS Conversion PRELIMINARY DATASHEET Version 1.06 August 2014 Meticom GmbH Meticom GmbH Page 1 of 17 Revision History MC20901 Version

More information

UNH-IOL MIPI Alliance Test Program

UNH-IOL MIPI Alliance Test Program DSI Receiver Protocol Conformance Test Report UNH-IOL 121 Technology Drive, Suite 2 Durham, NH 03824 +1-603-862-0090 mipilab@iol.unh.edu +1-603-862-0701 Engineer Name engineer@company.com Panel Company

More information

Face Tracking Using Convolutional Neural Network Accelerator IP Reference Design

Face Tracking Using Convolutional Neural Network Accelerator IP Reference Design Face Tracking Using Convolutional Neural Network Accelerator IP FPGA-RD-02037-1.0 May 2018 Contents 1. Introduction... 4 2. Related Documentation... 5 2.1. Soft IP Document... 5 2.2. Diamond Document...

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

MC FPGA Bridge IC. for. MIPI D-PHY Systems and SLVS to LVDS Conversion DATASHEET. Version August Meticom GmbH

MC FPGA Bridge IC. for. MIPI D-PHY Systems and SLVS to LVDS Conversion DATASHEET. Version August Meticom GmbH FPGA Bridge IC for MIPI D-PHY Systems and SLVS to LVDS Conversion DATASHEET Version 1.09 August 2016 Meticom GmbH Meticom GmbH Page 1 of 14 Revision History Version Date of Issue Change 1.01 April 25,

More information

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Solving Today s Interface Challenge With Ultra-Low-Density FPGA Bridging Solutions

Solving Today s Interface Challenge With Ultra-Low-Density FPGA Bridging Solutions Solving Today s Interface Challenges With Ultra-Low- Density FPGA Bridging Solutions August 2013 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

MC20902-EVB. MC20902 D-PHY 5-Channel Master Transmitter Evaluation Board User's Guide PRELIMINARY DATASHEET. Version February 2014.

MC20902-EVB. MC20902 D-PHY 5-Channel Master Transmitter Evaluation Board User's Guide PRELIMINARY DATASHEET. Version February 2014. C O N F MC20902 D-PHY 5-Channel Master Transmitter Evaluation Board User's Guide I D E N PRELIMINARY DATASHEET Version 1.00 T February 2014 I Meticom GmbH A L Meticom GmbH Page 1 of 14 Revision History

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander March 2004, ver 2.0 Application Note 265 Introduction Advantages of Using MAX II & MAX 3000A Devices Many microcontroller and microprocessors

More information

Power and Thermal Estimation and Management for MachXO3 Devices

Power and Thermal Estimation and Management for MachXO3 Devices October 2017 Technical Note TN1289 Introduction Key requirements for many of today s high volume FPGA applications are low power consumption and operation within challenging thermal environments. The MachXO3

More information

Powering Up and Programming the ProcessorPM isppac-powr605

Powering Up and Programming the ProcessorPM isppac-powr605 the ProcessorPM isppac-powr605 April 2011 Application Note AN6082 Introduction This application note discusses the states of the ProcessorPM isppac -POWR605 device s open drain logic outputs (IN_OUT1 to

More information

MIPI CSI-2 Receiver Decoder for PolarFire

MIPI CSI-2 Receiver Decoder for PolarFire UG0806 User Guide MIPI CSI-2 Receiver Decoder for PolarFire June 2018 Contents 1 Revision History... 1 1.1 Revision 1.1... 1 1.2 Revision 1.0... 1 2 Introduction... 2 3 Hardware Implementation... 3 3.1

More information

Raj Kumar Nagpal, R&D Manager Synopsys. Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY

Raj Kumar Nagpal, R&D Manager Synopsys. Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY Raj Kumar Nagpal, R&D Manager Enabling Higher Data Rates and Variety of Channels with MIPI D-PHY Agenda Design motivation MIPI D-PHY evolution Summary of MIPI D-PHY specification MIPI channel evolution

More information

Advantages of MIPI Interfaces in IoT Applications

Advantages of MIPI Interfaces in IoT Applications Advantages of MIPI Interfaces in IoT Applications IoT DevCon Conference Hezi Saar April 27, 2017 Abstract In addition to sensors, high-resolution cameras are key enablers of IoT devices. The challenge

More information

ice40lm Barcode Emulation Solution Control Registers and Data Buffer

ice40lm Barcode Emulation Solution Control Registers and Data Buffer ice40lm Barcode Emulation Reference Design October 2013 General Description Reference Design RD1191 The ice40lm Barcode Emulation Reference Design allows any device with an LED to be able to transmit bar

More information

IDE/ATA Interface Controller with WISHBONE

IDE/ATA Interface Controller with WISHBONE June 2010 Introduction Reference Design RD1095 Integrated Drive Electronics (IDE) is one of the most popular data bus interfaces for PCs. The IDE interface links a computer motherboard s data paths to

More information

Object Counting Using Convolutional Neural Network Accelerator IP Reference Design

Object Counting Using Convolutional Neural Network Accelerator IP Reference Design Object Counting Using Convolutional Neural Network Accelerator IP FPGA-RD-02036 Version 1.1 September 2018 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Related Documentation... 5 2.1.

More information

LatticeECP3 XAUI Demo Design User s Guide

LatticeECP3 XAUI Demo Design User s Guide LatticeECP3 XAUI Demo Design User s Guide June 2011 UG23_01.3 Introduction This document provides technical information and instructions on using the LatticeECP3 XAUI Demo Design. The demo has been designed

More information

MIPI Input Video Capture /Conversion Board [SVM-MIPI] Hardware Specification

MIPI Input Video Capture /Conversion Board [SVM-MIPI] Hardware Specification MIPI Input Video Capture /Conversion Board [SVM-MIPI] Hardware Specification Rev. NetVision Co., Ltd. Update History Revision Date Note 2018/04/24 New File(Equivalent to Japanese version 2.4) i Index 1.

More information

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide 25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. 25G

More information