Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest

Size: px
Start display at page:

Download "Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest"

Transcription

1 Designing with the Xilinx 7 Series PCIe Embedded Block Tweet this event: #avtxfest

2 Why Would This Presentation Matter to You? 2 If you are designing a PCIe based system and you need Up to PCIe Gen 3 data rate Optimal system cost/performance PCIe DMA solution Low cost FPGA configuration solution Then you need to know about the Xilinx 7 series PCIe solutions

3 Objectives 3 Become familiar with the Xilinx 7 series PCIe solutions Know what Alliance Partner DMA controller solutions are available for the 7 series integrated PCIe block Know how to configure the 7 series FPGA in order to meet the configuration time requirement in a PCIe system

4 Agenda 4 7 series PCIe Solutions 7 series PCIe User Interface Key PCIe Gen 3 Specifications 7 series FPGA Configuration in a PCIe System PCIe Multi-Function and Single-Root I/O Virtualization (SR-IOV) PCIe DMA Solutions Development Tools Closing Comments

5 Agenda 5 7 series PCIe Solutions 7 series PCIe User Interface Key PCIe Gen 3 Specifications 7 series FPGA Configuration in a PCIe System PCIe Multi-Function and Single-Root I/O Virtualization (SR-IOV) PCIe DMA Solutions Development Tools Closing Comments

6 Xilinx 7 Series Integrated PCIe Block 6 The 7 series PCIe block contains the functionality defined in the specifications maintained by the PCI-SIG Compliant with the PCI Express base 2.1/3.0 specification Configurable for Gen 1 (2.5Gbps), Gen 2 (5Gbps) or Gen 3 (8Gbps) data rates x8, x4, x2, or x1 lane width Configurable for Endpoint or Root Port applications 7 series transceivers implement a fully compliant PCIe PHY Maximum Payload Size (MPS) of 128/256/512/1024 bytes Up to 6 x 32-bit or 3 x 64-bit BARs

7 7 Series PCI Express Solutions 7 7 series PCIe solutions provide optimal system cost/performance Artix -7, optimized for lowest cost and power Kintex -7, optimized for best price/performance Virtex -7, optimized for highest system performance and capacity 7 series PCIe Solutions Gen 2 Hard IP Gen 3 Hard IP Gen 3 Soft IP Artix-7 x4* No No Kintex-7 x8 No x8 Virtex-7, T Devices x8 No x8 Virtex-7, XT Devices x8 x8** x8 Virtex-7, HT Devices x8 x8 x8 * Artix-7 bandwidth will be limited to Gen 2 x4 ** Excluding the XC7VX485T device

8 7 Series PCIe Gen 2 Integrated Block 8 Features Compliant to PCIe revision 2.1 Endpoint or Root Port support AXI4 user interface TX BRAM RX BRAM DRP Phy Layer Configurations x1- x8 lane widths Gen 1/Gen 2 data rates (2.5/5Gbps) Transaction Interface Configuration Interface Transaction Layer Data Link Layer Configuration Module Physical Layer GTXs PCIe Integrated Block Summary Virtually the same as Virtex-6 Enhanced performance Gen 2 x8 Root Port Lower Latency

9 7 Series PCIe Gen 3 Integrated Block 9 Features Compliant to PCIe revision 3.0 Endpoint or Root Port support 4 individual AXI4 user interfaces TX BRAM RX BRAM DRP Phy Layer 2 AXI4 Completer interfaces GTHs 2 AXI4 Requester interfaces Integrated SR-IOV (6 channels) Integrated Multi-Function (2 functions) Transaction Interface Configuration Interface Transaction Layer Data Link Layer Configuration Module PCIe Integrated Block Physical Layer Configurations x1- x8 lane widths Gen 1/Gen 2/Gen 3 data rates (2.5/5/8Gbps)

10 7 Series PCIe Gen 3 Soft IP Solutions 10 Supported in Kintex-7 and Virtex-7 Utilizes -2 or -3 speed grade part depending on the lane width Northwest Logic or PLDA soft IP for Gen 3 Xilinx supplied Gen 3 PCS and PMA Physical Coding Sublayer (PCS) soft IP with PIPE 3.0 connection to the Gen 3 soft IP Physical Media Attachment (PMA) hard IP via GTH transceivers PIPE 3.0 (PHY Interface for PCI Express) AXI Transaction Layer Data Link Layer PCS PMA PCIe Gen 3 Alliance Partner IP Soft IP Hard IP

11 Alliance Partner PCIe Gen 3 Soft IP Solutions 11 Northwest Logic and PLDA PCIe Gen 3 Soft IP features PCIe revision 3.0 compliant x1, x2, x4, x8, x16 (NW Logic only) lane support 8, 5, and 2.5Gbps support Endpoint and Root Port support Optional multi-channel DMA controller Linux and Windows device driver

12 Why Use PCIe Gen 3 Soft IP Solution? 12 Gen 3 early adopters can use the soft IP for initial prototyping Using Gen 3 soft IP in a Kintex-7 device could be less expensive than using a Virtex-7 device with the integrated Gen 3 hard IP Some applications might need more PCIe Gen 3 blocks than available in a given 7 series device Virtex-7 XT devices have 2-4 PCIe Gen 3 hard IP blocks Virtex-7 HT devices have 1-3 PCIe Gen 3 hard IP blocks

13 7 Series PCIe Clocking 13 7 series PCIe block requires a 100MHz or 250MHz system clock input The clock frequency used must match the clock frequency selection in the CORE Generator GUI In a typical PCIe system, the Endpoint device PCIe reference clock input is a 100MHz clock provided by the PCIe edge connector Some Endpoint devices require an external PLL Avnet K7 MMP baseboard uses ICS external PLL Reference Clock Input Artix-7 Kintex-7 Virtex-7 Gen MHz 100 MHz 100 MHz Gen MHz 100 MHz 100 MHz Gen 3 Hard IP Gen 3 Soft IP N/A N/A 250 MHz N/A 250 MHz 250 MHz

14 Agenda 14 7 series PCIe Solutions 7 series PCIe User Interface Key PCIe Gen 3 Specifications 7 series FPGA Configuration in a PCIe System PCIe Multi-Function and Single-Root I/O Virtualization (SR-IOV) PCIe DMA Solutions Development Tools Closing Comments

15 7 Series PCIe Unified AXI Interfaces 15 The user interface of the 7 series PCIe block is designed to the AXI4 specification Three variations of AXI4 interfaces will be provided, each tailored for a different customer use case AXI4 Type Basic AXI4-Stream Enhanced AXI4-Stream AXI4 Memory Mapped Description This interface is analogous to the legacy Local Link interface found in previous Xilinx FPGA families. This interface is similar to the Basic AXI4- Stream interface but expands on it by splitting/combining the data stream into Completer and Requester streams. This is a memory-mapped interface for use in processor based systems (EDK PCIe bridge).

16 7 Series PCIe Gen 2/Gen 3 AXI4 Interfaces 16 Basic AXI4-Stream PCIe Gen 2 user interface Easy migration from Local Link Enhanced AXI4-Stream PCIe Gen 3 user interface Separate Requester R/W and Completer R/W interfaces AXI4 Memory Mapped PCIe Gen 2/Gen 3 user interface Used in embedded designs EDK IP core Migration from PLB46

17 7 Series PCIe AXI4 Bus Width and Clock Frequency 17 The clock frequency of the AXI4 user interface can be selected via CORE Generator GUI Each PCIe lane width provides a default frequency along with alternative frequencies Where possible, Xilinx recommends using the default frequency Non-default frequencies will result in difficulties to close timing Data Rate Lanes Bus Width (Bits) Bus Speed (MHz) Gen (default), 31.25, 125, or (default) or / /125 (default) or 250 Gen (default), 125, or / /125 (default) or Gen (default) or / /

18 Agenda 18 7 series PCIe Solutions 7 series PCIe User Interface Key PCIe Gen 3 Specifications 7 series FPGA Configuration in a PCIe System PCIe Multi-Function and Single-Root I/O Virtualization (SR-IOV) PCIe DMA Solutions Development Tools Closing Comments

19 Gen 3 Goals From PCI-SIG 19 Double the effective bandwidth 8Gbps (4Gbps for Gen 2) Two different approaches 10G with 8b/10b coding 8G with scrambling Analysis clearly showed 8G as the preferred approach Channel loss and distortion much worse at 10G than 8G Remain cost effective FR4 for PCB Similar reference clock architectures as Gen 2 Similar power budget and physical connections as Gen 2 Compatibility Gen 1/Gen 2 cards must operate at Gen 1/Gen 2 rate in Gen 3 slots

20 Gen 3 Enhancements and Challenges 20 New PHY layer enhancements Encoding 8Gbps raw line rate per lane Supported by the 7 series Serdes 128b/130b encoding/scrambling 128b/130b & Scrambler use a 23-bit LFSR Gen3 Scrambling 1.5% encoding overhead Implemented in the 7 series FPGA fabric Multi-lane Gen 3 designs will use a custom PCS soft IP Raw Line Rate Effective BW Gen1 8b10b 2.5Gbps 2Gbps Gen2 8b10b 5Gbps 4Gbps 8Gbps 7.88Gbps Tightened reference clock input specifications (user responsibility) Reference clock RMS jitter of 1ps (3.1ps for Gen 2) Protocol enhancements v2.1 ECNs

21 Board Design and Simulation Tools 21 PCB design guidelines chapter in Xilinx PCIe user s guide Updated to include Gen 3 considerations PCB simulation for Gen 3 designs Rule of thumb PCB design may have worked for 5Gbps, but will be difficult at 8Gbps on FR4 PCB material Simulation will be essential for PCB design at 8Gbps Mentor Hyperlynx GHz and Sigrity SystemSI simulators Xilinx provides transceiver IBIS-AMI model Free statistical eye simulators PCI-SIG Seasim Intel Channel Test Tool (ICTT)

22 Free 3 rd Party Statistical Eye Simulators 22 Seasim Open source statistical eye simulator from PCI-SIG (requires membership) Input: Step response of channel Output: Eye diagram after LE and DFE (uses behavioral DFE and LE) Version 0.46 supports PCIe Gen 3 ICTT Intel channel test tool Free closed source statistical eye simulator from Intel Input: Step response of channel Output: Eye diagram after LE and DFE Version supports PCIe Gen 3

23 Xilinx Chipscope Pro IBERT 23 Integrated Bit Error Ratio Tester (IBERT) Allows hardware evaluation of highspeed links IBERT GUI key features Hardware PRBS generator and checker Transmitter and receiver parameter sweeping RX margin analysis Horizontal and vertical scan Eye diagram plot

24 Agenda 24 7 series PCIe Solutions 7 series PCIe User Interface Key PCIe Gen 3 Specifications 7 series FPGA Configuration in a PCIe System PCIe Multi-Function and Single-Root I/O Virtualization (SR-IOV) PCIe DMA Solutions Development Tools Closing Comments

25 FPGA Configuration Requirements in PCIe Systems 25 Open system specification requirements FPGA must be configured and ready for PCIe enumeration in 100ms Host CPU begins PCIe enumeration upon de-assertion of the PCIe reset signal (PERST#) PCIe reset signal is de-asserted 100ms after the power supply PWR_OK signal is asserted (12V supply reaches 95%) In systems with ATX power supply, the FPGA configuration time is increased to 200ms ATX power supply PWR_OK signal is asserted minimum of 100ms after the 12V supply reaches 95% User cost reduction requirements User wants to use inexpensive Flash devices (SPI, QSPI, BPI, etc.) for configuration User wants to use existing Flash or hard drive present in the system attached to the CPU

26 Meeting 7 Series Configuration Requirements in PCIe Systems 26 PCIe interface needs to be ready in 100ms after stable power condition Most 7 series devices cannot meet the 100ms timing using the popular single chip solutions (SPI, QSPI, BPI, etc.) The following three solutions are available to meet the 7 series 100ms configuration time requirement Solution Solution 1 (Tandem PROM) (IDS 14.1) Refer to the 7 series PCIe User s Guide for more info on Tandem PROM configuration. Solution 2 (Tandem PCIe) (IDS 14.2) Solution 3 (Tandem PCIe with PR) (IDS 14.2) Description Split the configuration into two stages (Tandem) 1 st Stage: Configure just the PCIe interface (PCIe IP, Serdes, CMT, and BRAM) 2 nd Stage: Configure the remainder of the FPGA Tandem configuration over PCIe 1 st Stage will use a small Flash device Tandem configuration over PCIe with Partial Reconfiguration (PR)

27 Solution 1 Tandem PROM 27 Both initial PCIe link configuration and user application bitstreams are stored in the same Flash device Initial PCIe configuration has the BitGen Persist option enabled This will ensure the configuration IO pins continue to load the 2 nd stage from the Flash after the 1 st stage has completed BitGen reports the number of configuration frames in 1 st stage Used to calculate the 1 st stage bitstream size Flash Initial PCIe Configuration Padding User Application FPGA PCIe Link

28 Tandem PROM 1 st Stage - Initial PCIe Link Configuration 28 Flash configures the PCIe Block (PCIe IP, Serdes, CMT, and BRAM) At the end of the initial configuration, a configuration startup command is issued to bring up the FPGA and the PCIe link Configuration Flash Frequency 1 st Stage Config. Time SPI 100 MHz 90 ms QSPI 66 MHz 34 ms BPI x8 Synch 33 MHz 34 ms BPI x16 Synch 33 MHz 17 ms Estimates based on 9 Mb 1 st stage bitstream size (7K325T)

29 Tandem PROM 2 nd Stage - Remainder of the FPGA 29 PCIe enumeration/configuration occurs as normal The remainder of the FPGA configuration is then loaded while the PCIe enumeration/configuration is taking place Configuration Port PCIe Block

30 Tandem PROM Configuration Design Flow 30 Coregen Check the option box for Fast Boot Generated UCF will contain Fast Boot Area Group constraint with floor planned PCIe core and partition Synthesis & PAR Normal flow, designers integrate PCIe core RTL and constraints into user application design No Partial Reconfiguration (License) necessary BitGen Run BitGen with Persist option BitGen creates single Tandem bitstream

31 Agenda 31 7 series PCIe Solutions 7 series PCIe User Interface Key PCIe Gen 3 Specifications 7 series FPGA Configuration in a PCIe System PCIe Multi-Function and Single-Root I/O Virtualization (SR-IOV) PCIe DMA Solutions Development Tools Closing Comments

32 PCIe Multi-Function 32 Enables PCIe Endpoints to have multiple functions Each function has its own PCIe configuration space From a host CPU perspective, each function appears as an individual PCIe device Fully integrated in the Virtex-7 XT PCIe hard IP Host CPU + Chipset Gigabit Ethernet Driver Windows OS USB 3.0 Driver PCI Express 1 Physical Link FPGA Enables easy software driver implementation and portability Driver developer can create a single driver and replicate it for each hardware function Configuration Space Gigabit Ethernet Function 0 Configuration Space USB 3.0 Function 1

33 PCIe Single Root I/O Virtualization (SR-IOV) 33 Typically, multiple virtual machines (OS) running on a physical machine share a physical device via software emulation Significant impact on I/O performance Limits the number of virtual machines Host CPU + Chipset Windows OS Linux OS Virtual Machine Manager (VMM) SR-IOV defines a method to share a physical device without software emulation Creates a number of virtual functions (configuration spaces) per physical device VMM configures the physical device to appear in the PCIe configuration space as multiple virtual functions Each virtual function is directly assigned to a virtual machine SR-IOV is fully integrated in the Virtex-7 XT PCIe hard IP Virtual Function PCIe Physical Function Gigabit Ethernet FPGA Virtual Function

34 Agenda 34 7 series PCIe Solutions 7 series PCIe User Interface Key PCIe Gen 3 Specifications 7 series FPGA Configuration in a PCIe System PCIe Multi-Function and Single-Root I/O Virtualization (SR-IOV) PCIe DMA Solutions Development Tools Closing Comments

35 Typical System Data Flow 35 CPU performs all data transfers to/from FPGA Transfer rate is limited by CPU's ability to service the FPGA CPU is tied up managing I/O data transfers

36 System Data Flow Using a DMA Controller 36 CPU programs the DMA controller for data transfer Data is transferred by the DMA controller when system bus is not used by CPU (useful work can still be done by CPU while DMA is active) Can achieve full bandwidth for large data transfers

37 DMA Controller Setup and Operation (PCIe System) 37 CPU configures the DMA controller using PIO read/write operations Manages and allocates buffer descriptors Source base address Destination base address Length of the block Starts the DMA controller PIO transactions to set up descriptors happen concurrently with the DMA transfers to maximize the data flow A given descriptor is released when the TLP is transferred to the buffer in memory (so the OS and application know that the memory has been used)

38 Alliance Partner DMA Controllers 38 The Alliance Partner DMA IP features (PLDA and NW Logic) Full-featured, high performance, block-based or packet-based DMA controller DMA transfers can begin/end on any byte address without restriction Supports scatter-gather as well as multiple DMA channels Delivered with Windows or Linux driver

39 Agenda 39 7 series PCIe Solutions 7 series PCIe User Interface Key PCIe Gen 3 Specifications 7 series FPGA Configuration in a PCIe System PCIe Multi-Function and Single-Root I/O Virtualization (SR-IOV) PCIe DMA Solutions Development Tools Closing Comments

40 CORE Generator Simplifies Design Task 40 Configures and connects PCIe hard IP, BRAM, CMT, and Serdes Unencrypted RTL wrapper source code Automatically inserts pipeline registers between the PCIe block and BRAM if necessary Provides Programmed I/O (PIO) example design Implementation scripts for synthesis, map and par User Constraints File (UCF) Simulation Simulation support for Modelsim, ISIM, VCS, NC-Sim Root Port and Endpoint Bus Functional Model (BFM)

41 Embedded Solutions for PCIe 41 AXI Memory Mapped Root Port/Endpoint bridge for PCIe Supports up to Gen 2 x4 AXI-CDMA High performance central DMA controller (generic DMA controller) Software drivers and example application code Standalone Embedded Linux

42 Agenda 42 7 series PCIe Solutions 7 series PCIe User Interface Key PCIe Gen 3 Specifications 7 series FPGA Configuration in a PCIe System PCIe Multi-Function and Single-Root I/O Virtualization (SR-IOV) PCIe DMA Solutions Development Tools Closing Comments

43 Key Takeaways 43 Xilinx offers PCIe solution in every 7 series family Gen 2 hard IP in Artix-7, Kintex-7 and Virtex-7 Gen 3 hard IP in most Virtex-7 XT and HT devices Gen 3 soft IP in Kintex-7 and Virtex-7 devices Alliance Partners (PLDA and NW Logic) offer DMA controller solutions for the 7 series integrated PCIe block Xilinx provides innovative and low cost 7 series FPGA configuration schemes for PCIe applications Tandem PROM (IDS 14.1 release) Tandem PCIe (IDS 14.2 release) Tandem PCIe with Partial Reconfiguration (IDS 14.2 release)

44 Next Steps 44 Learn more about the 7 series PCIe solutions Visit Purchase a Kintex-7 development kit Kintex-7 MMP Development Kit P/N: AES-MMP-7K325T-G P/N: AES-MMP-BB2-G P/N: Selected Power Module Price: $1,695 Available: May 2012 KC705 Evaluation Kit P/N: EK-K7-KC705-CES-G Price: $1,695 Available: Now

45 Next Steps 45 See the Kintex-7 demos in the exhibit area K7 MMP Exhibits: Avnet KC705 Exhibits: Avnet and Xilinx Contact your local Avnet FAE Application and architecture reviews Tools demo Attend additional 7 series PCIe training courses Avnet SpeedWay hands-on workshops Xilinx Authorized Training Partner courses Visit for more details

46 Thank You Please Visit the Demo Area Tweet this event: #avtxfest

47 Appendix Tweet this event: #avtxfest

48 TE PCIe Card Edge Connectors 48 TE provides PCIe Card Edge Connectors in standard sizes x1 (36 pins) x4 (64 pins) x8 (98 pins) x16 (164 pins) PCIe 3.0 data rates supported (6.0Gbps) With plastic locating posts or metal hold downs Straddle mount and right-angle configurations available Multiple tail lengths and plating options available

49 49

Virtex-7 FPGA Gen3 Integrated Block for PCI Express

Virtex-7 FPGA Gen3 Integrated Block for PCI Express Virtex-7 FPGA Gen3 Integrated Block for PCI Express Product Guide Table of Contents Chapter 1: Overview Feature Summary.................................................................. 9 Applications......................................................................

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

LogiCORE IP Serial RapidIO Gen2 v1.2

LogiCORE IP Serial RapidIO Gen2 v1.2 LogiCORE IP Serial RapidIO Gen2 v1.2 Product Guide Table of Contents Chapter 1: Overview System Overview............................................................ 5 Applications.................................................................

More information

KC705 PCIe Design Creation with Vivado August 2012

KC705 PCIe Design Creation with Vivado August 2012 KC705 PCIe Design Creation with Vivado August 2012 XTP197 Revision History Date Version Description 08/20/12 1.0 Initial version. Added AR50886. Copyright 2012 Xilinx, Inc. All Rights Reserved. XILINX,

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

Creating PCI Express Links in Intel FPGAs

Creating PCI Express Links in Intel FPGAs Creating PCI Express Links in Intel FPGAs Course Description This course provides all necessary theoretical and practical know how to create PCI Express links in Intel FPGAs. The course goes into great

More information

Enabling success from the center of technology. Interfacing FPGAs to Memory

Enabling success from the center of technology. Interfacing FPGAs to Memory Interfacing FPGAs to Memory Goals 2 Understand the FPGA/memory interface Available memory technologies Available memory interface IP & tools from Xilinx Compare Performance Cost Resources Demonstrate a

More information

AN 829: PCI Express* Avalon -MM DMA Reference Design

AN 829: PCI Express* Avalon -MM DMA Reference Design AN 829: PCI Express* Avalon -MM DMA Reference Design Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1....3 1.1. Introduction...3 1.1.1.

More information

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ 1 P age Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ April 04, 2011 Getting Started 1. Where can I purchase a kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Connectivity kits online at: Spartan-6

More information

Enabling success from the center of technology. A Practical Guide to Configuring the Spartan-3A Family

Enabling success from the center of technology. A Practical Guide to Configuring the Spartan-3A Family A Practical Guide to Configuring the Spartan-3A Family Goals 2 Explain advantages and disadvantages of each configuration mechanism available for Spartan-3A Show how to use an industry standard flash for

More information

ML605 PCIe x8 Gen1 Design Creation

ML605 PCIe x8 Gen1 Design Creation ML605 PCIe x8 Gen1 Design Creation March 2010 Copyright 2010 Xilinx XTP044 Note: This presentation applies to the ML605 Overview Virtex-6 PCIe x8 Gen1 Capability Xilinx ML605 Board Software Requirements

More information

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote A (Very Hand-Wavy) Introduction to PCI-Express Jonathan Heathcote Motivation Six Week Project Before PhD Starts: SpiNNaker Ethernet I/O is Sloooooow How Do You Get Things In/Out of SpiNNaker, Fast? Build

More information

Kintex-7 FPGA Base Targeted Reference Design

Kintex-7 FPGA Base Targeted Reference Design Kintex-7 FPGA Base Targeted Reference Design User Guide Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products.

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

Building Gigabit Interfaces in Altera Transceiver Devices

Building Gigabit Interfaces in Altera Transceiver Devices Building Gigabit Interfaces in Altera Transceiver Devices Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 28- nm embedded transceivers found

More information

LogiCORE IP AXI DMA v6.01.a

LogiCORE IP AXI DMA v6.01.a LogiCORE IP AXI DMA v6.01.a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Typical System Interconnect......................................................... 8 Operating

More information

Bus Master Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express Solutions Author: Jake Wiltgen and John Ayer

Bus Master Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express Solutions Author: Jake Wiltgen and John Ayer XAPP1052 (v3.2) September 29, 2011 Application Note: Virtex-6, Virtex-5, Spartan-6 and Spartan-3 FPGA Families Bus Master Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express

More information

LogiCORE IP AXI DMA v6.02a

LogiCORE IP AXI DMA v6.02a LogiCORE IP AXI DMA v6.02a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Operating System Requirements..................................................... 8 Feature Summary..................................................................

More information

ML605 PCIe x8 Gen1 Design Creation

ML605 PCIe x8 Gen1 Design Creation ML605 PCIe x8 Gen1 Design Creation October 2010 Copyright 2010 Xilinx XTP044 Revision History Date Version Description 10/05/10 12.3 Recompiled under 12.3. AR35422 fixed; included in ISE tools. 07/23/10

More information

AN 690: PCI Express DMA Reference Design for Stratix V Devices

AN 690: PCI Express DMA Reference Design for Stratix V Devices AN 690: PCI Express DMA Reference Design for Stratix V Devices an690-1.0 Subscribe The PCI Express Avalon Memory-Mapped (Avalon-MM) DMA Reference Design highlights the performance of the Avalon-MM 256-Bit

More information

10GBase-R PCS/PMA Controller Core

10GBase-R PCS/PMA Controller Core 10GBase-R PCS/PMA Controller Core Contents 1 10GBASE-R PCS/PMA DATA SHEET 1 1.1 FEATURES.................................................. 1 1.2 APPLICATIONS................................................

More information

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures Programmable Logic Design Grzegorz Budzyń Lecture 15: Advanced hardware in FPGA structures Plan Introduction PowerPC block RocketIO Introduction Introduction The larger the logical chip, the more additional

More information

ChipScope Pro Software and Cores

ChipScope Pro Software and Cores í ChipScope Pro Software and Cores User Guide [] [] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs

More information

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing Second International Workshop on HyperTransport Research and Application (WHTRA 2011) University of Heidelberg Computer

More information

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 1 of 14 JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 2 of 14 CONTENTS INTRODUCTION... 3 SCOPE... 3 HARDWARE...

More information

BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design

BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design Valeh Valiollahpour Amiri (vv2252) Christopher Campbell (cc3769) Yuanpei Zhang (yz2727) Sheng Qian ( sq2168) March 26, 2015 I) Hardware

More information

Accelerating System Designs Requiring High-Bandwidth Connectivity with Targeted Reference Designs

Accelerating System Designs Requiring High-Bandwidth Connectivity with Targeted Reference Designs White Paper: Spartan-6 and Virtex-6 FPGAs WP359 (v1.0) December 8, 2009 Accelerating System Designs Requiring High-Bandwidth Connectivity with Targeted Reference Designs By: Navneet Rao FPGAs that provide

More information

í ChipScope Pro Software and Cores User Guide [] UG029 (v14.2) July 25, 2012

í ChipScope Pro Software and Cores User Guide [] UG029 (v14.2) July 25, 2012 í ChipScope Pro Software and Cores User Guide [] [] Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

Avnet Zynq Mini Module Plus Embedded Design

Avnet Zynq Mini Module Plus Embedded Design Avnet Zynq Mini Module Plus Embedded Design Version 1.0 May 2014 1 Introduction This document describes a Zynq standalone OS embedded design implemented and tested on the Avnet Zynq Mini Module Plus. 2

More information

Extreme Low Latency 10G Ethernet IP Solution Product Brief (HTK-ELL10G-ETH-FPGA)

Extreme Low Latency 10G Ethernet IP Solution Product Brief (HTK-ELL10G-ETH-FPGA) The 10Gbps Extreme Low Latency Ethernet IP solution offers a fully integrated IEEE802.3 compliant package for NIC (Network Interface Card) and Ethernet switching applications. This industry leading extreme

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Virtex-6 FPGA Connectivity Kit

Virtex-6 FPGA Connectivity Kit Virtex-6 FPGA Connectivity Kit Getting Started Guide XPN 0402826-01 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development

More information

Bus Master DMA Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express Solutions Author: Jake Wiltgen and John Ayer

Bus Master DMA Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express Solutions Author: Jake Wiltgen and John Ayer XAPP1052 (v2.5) December 3, 2009 Application Note: Virtex-6, Virtex-5, Spartan-6 and Spartan-3 FPGA Families Bus Master DMA Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express

More information

Hardware Demonstration Design

Hardware Demonstration Design Hardware Demonstration Design JESD204 Hardware Demonstration User Guide 1 A hardware demonstration design, targeting the Kintex-7 KC705, Zynq-7000 ZC706, Virtex-7 VC709 or Artix-7 AC701 evaluation platforms,

More information

LogiCORE IP Serial RapidIO v5.6

LogiCORE IP Serial RapidIO v5.6 DS696 March 1, 2011 Introduction The LogiCORE IP Serial RapidIO Endpoint solution comprises a highly flexible and optimized Serial RapidIO Physical Layer core and a Logical (I/O) and Transport Layer interface.

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

Arria V GZ Avalon-MM Interface for PCIe Solutions

Arria V GZ Avalon-MM Interface for PCIe Solutions Arria V GZ Avalon-MM Interface for PCIe Solutions User Guide Last updated for Altera Complete Design Suite: 14.0 Subscribe 2014.06.30 UG-01127_avmm 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Getting Started Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your ML605 kit online at: http://www.xilinx.com/onlinestore/v6_boards.htm

More information

UltraScale Devices Gen3 Integrated Block for PCI Express v4.4

UltraScale Devices Gen3 Integrated Block for PCI Express v4.4 UltraScale Devices Gen3 Integrated Block for PCI Express v4.4 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

Understanding Performance of PCI Express Systems

Understanding Performance of PCI Express Systems White Paper: Virtex-4 and Virtex-5 FPGAs R WP350 (v1.1) September 4, 2008 Understanding Performance of PCI Express Systems By: Alex Goldhammer and John Ayer Jr. PCI Express technology, which is a serialized

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

Virtex-6 FPGA Connectivity Kit

Virtex-6 FPGA Connectivity Kit Virtex-6 FPGA Connectivity Kit Getting Started Guide XPN 0402826-03 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx

More information

KC705 GTX IBERT Design Creation October 2012

KC705 GTX IBERT Design Creation October 2012 KC705 GTX IBERT Design Creation October 2012 XTP103 Revision History Date Version Description 10/23/12 4.0 Regenerated for 14.3. 07/25/12 3.0 Regenerated for 14.2. Added AR50886. 05/30/12 2.1 Minor updates.

More information

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future

Peter Alfke, Xilinx, Inc. Hot Chips 20, August Virtex-5 FXT A new FPGA Platform, plus a Look into the Future Peter Alfke, Xilinx, Inc. Hot Chips 20, August 2008 Virtex-5 FXT A new FPGA Platform, plus a Look into the Future FPGA Evolution Moore s Law: Double density every other year New process technology, smaller

More information

Maximising Serial Bandwidth And Signal Integrity In FPGAs

Maximising Serial Bandwidth And Signal Integrity In FPGAs Maximising Serial Bandwidth And Signal Integrity In FPGAs Francesco Contu High Speed IO specialist, EMEA South francescocontu@xilinxcom Agilent High Speed Digital Design & Validation Seminars 2012 Agenda

More information

An FPGA-Based Optical IOH Architecture for Embedded System

An FPGA-Based Optical IOH Architecture for Embedded System An FPGA-Based Optical IOH Architecture for Embedded System Saravana.S Assistant Professor, Bharath University, Chennai 600073, India Abstract Data traffic has tremendously increased and is still increasing

More information

High Speed Transceiver Debugging NMI FPGA Network 2015 David Taylor Xilinx HSIO AE

High Speed Transceiver Debugging NMI FPGA Network 2015 David Taylor Xilinx HSIO AE High Speed Transceiver Debugging NMI FPGA Network 2015 David Taylor Xilinx HSIO AE Agenda Transceiver Overview Design for debug Debug tools and methodology practical steps Advanced debug Summary Demo Page

More information

LogiCORE IP RXAUI v2.4

LogiCORE IP RXAUI v2.4 LogiCORE P RXAU v2.4 Product Guide Table of Contents SECTON : SUMMARY P Facts Chapter 1: Overview Feature Summary.................................................................. 7 Applications......................................................................

More information

Introduction to Partial Reconfiguration Methodology

Introduction to Partial Reconfiguration Methodology Methodology This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Define Partial Reconfiguration technology List common applications

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

LogiCORE IP AXI Video Direct Memory Access v4.00.a

LogiCORE IP AXI Video Direct Memory Access v4.00.a LogiCORE IP AXI Video Direct Memory Access v4.00.a Product Guide Table of Contents Chapter 1: Overview Feature Summary............................................................ 9 Applications................................................................

More information

PCIe 3.0 Compliance Testing Dan Froelich Serial Enabling Workgroup Co-Chair

PCIe 3.0 Compliance Testing Dan Froelich Serial Enabling Workgroup Co-Chair PCIe 3.0 Compliance Testing Dan Froelich Serial Enabling Workgroup Co-Chair Copyright 2015, PCI-SIG, All Rights Reserved 1 Agenda PCIe Compliance Program Status PCIe Compliance Process Compliance Test

More information

PCI Express Avalon-MM DMA Reference Design

PCI Express Avalon-MM DMA Reference Design PCI Express Avalon-MM DMA Reference Design AN-690 2016.05.28 Subscribe Send Feedback Contents Contents 1 AN 690:...3 1.1 Deliverables Included with the Reference Design...3 1.2 Reference Design Functional

More information

Table 1: Example Implementation Statistics for Xilinx FPGAs

Table 1: Example Implementation Statistics for Xilinx FPGAs logijpge Motion JPEG Encoder January 10 th, 2018 Data Sheet Version: v1.0 Xylon d.o.o. Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail: support@logicbricks.com

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

New Software-Designed Instruments

New Software-Designed Instruments 1 New Software-Designed Instruments Nicholas Haripersad Field Applications Engineer National Instruments South Africa Agenda What Is a Software-Designed Instrument? Why Software-Designed Instrumentation?

More information

Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide

Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML

More information

FPGA Solutions: Modular Architecture for Peak Performance

FPGA Solutions: Modular Architecture for Peak Performance FPGA Solutions: Modular Architecture for Peak Performance Real Time & Embedded Computing Conference Houston, TX June 17, 2004 Andy Reddig President & CTO andyr@tekmicro.com Agenda Company Overview FPGA

More information

Intel Stratix 10 L- and H-Tile Transceiver PHY User Guide

Intel Stratix 10 L- and H-Tile Transceiver PHY User Guide Intel Stratix 10 L- and H-Tile Transceiver PHY User Guide Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Overview... 7 1.1. L-Tile/H-Tile Layout in Intel Stratix 10 Device

More information

27 March 2018 Mikael Arguedas and Morgan Quigley

27 March 2018 Mikael Arguedas and Morgan Quigley 27 March 2018 Mikael Arguedas and Morgan Quigley Separate devices: (prototypes 0-3) Unified camera: (prototypes 4-5) Unified system: (prototypes 6+) USB3 USB Host USB3 USB2 USB3 USB Host PCIe root

More information

AXI Bridge for PCI Express Gen3 Subsystem v3.0

AXI Bridge for PCI Express Gen3 Subsystem v3.0 AXI Bridge for PCI Express Gen3 Subsystem v3.0 Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

100 Gbps/40 Gbps PCS/PMA + MAC IP Core

100 Gbps/40 Gbps PCS/PMA + MAC IP Core 100 Gbps/40 Gbps PCS/PMA + MAC IP Core Getting started guide: 1. Prerequisites: In order to simulate and implement Aitia's 100 Gbps / 40 Gbps Ethernet PCS/PMA + MAC IP core you must meet the following

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

OpenPiton in Action. Princeton University. OpenPit

OpenPiton in Action. Princeton University.  OpenPit OpenPiton in Action Princeton University http://openpiton.org OpenPit FPGA Prototyping 2 Supported Development Boards Boards supported by toolchain: Digilent Genesys2 Xilinx VC707 Digilent NexysVideo Digilent

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Using PEX 8648 SMA based (SI) Card

Using PEX 8648 SMA based (SI) Card Using PEX 8648 SMA based (SI) Card White Paper Version 1.3 July 2010 Website: Technical Support: www.plxtech.com www.plxtech.com/support Copyright 2008 by PLX Technology, Inc. All Rights Reserved Version

More information

NVM PCIe Networked Flash Storage

NVM PCIe Networked Flash Storage NVM PCIe Networked Flash Storage Peter Onufryk Microsemi Corporation Santa Clara, CA 1 PCI Express (PCIe) Mid-range/High-end Specification defined by PCI-SIG Software compatible with PCI and PCI-X Reliable,

More information

7 Series FPGAs Overview

7 Series FPGAs Overview 12 7 Series FPGAs Overview Advance Product Specification General Description Xilinx 7 series FPGAs comprise three new FPGA families that address the complete range of system requirements, ranging from

More information

Ultimate IP cores. for Storage & Networking Solution. Features of Gigabit IP core series. DesignGateway is joining Xilinx Alliance Program

Ultimate IP cores. for Storage & Networking Solution. Features of Gigabit IP core series. DesignGateway is joining Xilinx Alliance Program Ultimate s for Storage & Networking Solution DesignGateway is joining Xilinx Alliance Program of Gigabit series Ultimate IP High performance, High reliability, Compact resource, Simple user interface Support

More information

PCI Express High Performance Reference Design

PCI Express High Performance Reference Design 2014.12.19 AN-456-2.3 Subscribe The PCI Express High-Performance Reference Design highlights the performance of the Altera s PCI Express products. The design includes a high-performance chaining direct

More information

Intel Arria 10 Avalon -ST Interface with SR-IOV PCIe* Solutions User Guide

Intel Arria 10 Avalon -ST Interface with SR-IOV PCIe* Solutions User Guide Intel Arria 10 Avalon -ST Interface with SR-IOV PCIe* Solutions User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Programming and Debug Tools PolarFire v2.0 Release Notes 11/2017

Programming and Debug Tools PolarFire v2.0 Release Notes 11/2017 Programming and Debug Tools PolarFire v2.0 Release Notes 11/2017 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100

More information

Intel Arria 10 and Intel Cyclone 10 GX Avalon -ST Interface for PCI Express* User Guide

Intel Arria 10 and Intel Cyclone 10 GX Avalon -ST Interface for PCI Express* User Guide Intel Arria 10 and Intel Cyclone 10 GX Avalon -ST Interface for PCI Express* User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents

More information

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved.

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved. + William Stallings Computer Organization and Architecture 10 th Edition 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. 2 + Chapter 3 A Top-Level View of Computer Function and Interconnection

More information

NVMe-IP Introduction for Xilinx Ver1.7E

NVMe-IP Introduction for Xilinx Ver1.7E NVMe-IP Introduction for Xilinx Ver1.7E Direct connection between latest NVMe SSD and FPGA Optimal Solution for Recording Application! Page 1 NVMe SSD Overview Agenda SSD Trends Merit of NVMe SSD for embedded

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Arria 10 Avalon-MM Interface for PCIe Solutions

Arria 10 Avalon-MM Interface for PCIe Solutions Arria 10 Avalon-MM Interface for PCIe Solutions User Guide Last updated for Altera Complete Design Suite: 14.0 Arria 10 Edition Subscribe UG-01145_avmm 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

Xilinx Answer QDMA Performance Report

Xilinx Answer QDMA Performance Report Xilinx Answer 71453 QDMA Performance Report Important Note: This downloadable PDF of an Answer Record is provided to enhance its usability and readability. It is important to note that Answer Records are

More information

PCI Express High Performance Reference Design

PCI Express High Performance Reference Design 2017.04.20 AN-456-2.5 Subscribe The PCI Express High-Performance Reference Design highlights the performance of the Altera s PCI Express products. The design includes a high-performance chaining direct

More information

Interlaken IP Core (2nd Generation) Design Example User Guide

Interlaken IP Core (2nd Generation) Design Example User Guide Interlaken IP Core (2nd Generation) Design Example User Guide UG-20051 2017.09.19 Subscribe Send Feedback Contents Contents 1 Quick Start Guide... 3 1.1 Directory Structure... 4 1.2 Design Components...

More information

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7 DS550 April 19, 2010 Virtex-5 FPGA Embedded Tri-Mode Wrapper v1.7 Introduction The LogiCORE IP Virtex -5 FPGA Embedded Tri-Mode Wrapper automates the generation of HDL wrapper files for the Embedded Tri-Mode

More information

Intel Arria 10 and Intel Cyclone 10 GX Avalon -MM Interface for PCI Express* User Guide

Intel Arria 10 and Intel Cyclone 10 GX Avalon -MM Interface for PCI Express* User Guide Intel Arria 10 and Intel Cyclone 10 GX Avalon -MM Interface for PCI Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Datasheet... 6

More information

Building Interfaces with Arria 10 High-Speed Transceivers

Building Interfaces with Arria 10 High-Speed Transceivers Building Interfaces with Arria 10 High-Speed Transceivers Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 20- nm embedded transceivers found

More information

LogiCORE IP AXI DataMover v3.00a

LogiCORE IP AXI DataMover v3.00a LogiCORE IP AXI DataMover v3.00a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Operating System Requirements..................................................... 7 Feature

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Application Note QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Copyrights and Trademarks Copyright 2004 Samtec,

More information

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech

Signal Conversion in a Modular Open Standard Form Factor. CASPER Workshop August 2017 Saeed Karamooz, VadaTech Signal Conversion in a Modular Open Standard Form Factor CASPER Workshop August 2017 Saeed Karamooz, VadaTech At VadaTech we are technology leaders First-to-market silicon Continuous innovation Open systems

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

PCI Express Basic Demo Verilog Source Code User s Guide

PCI Express Basic Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2010 UG15_01.3 Introduction This user s guide provides details of the Verilog code used for the Lattice. A block diagram of the entire design is provided followed

More information

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Craig Ulmer cdulmer@sandia.gov July 26, 2007 Craig Ulmer SNL/CA Sandia is a multiprogram laboratory operated by Sandia Corporation,

More information

Realize the Genius of Your Design

Realize the Genius of Your Design Realize the Genius of Your Design Introducing Xilinx 7 Series SoC/ASIC Prototyping Platform Delivering Rapid SoC Prototyping Solutions Since 2003 Xilinx 7 Series Prodigy Logic Module Gigabit Ethernet Enabled

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4 DS710 April 19, 2010 Introduction The LogiCORE IP Virtex -6 FPGA Embedded Tri- Mode Ethernet MAC Wrapper automates the generation of HDL wrapper files for the Embedded Tri- Mode Ethernet MAC (Ethernet

More information

XMC Products. High-Performance XMC FPGAs, XMC 10gB Ethernet, and XMC Carrier Cards. XMC FPGAs. FPGA Extension I/O Modules.

XMC Products. High-Performance XMC FPGAs, XMC 10gB Ethernet, and XMC Carrier Cards. XMC FPGAs. FPGA Extension I/O Modules. E M B E D D E D C O M P U T I N G & I / O S O L U T I O N S XMC Products XMC FPGAs FPGA Extension I/O Modules XMC 10gB Ethernet XMC Carrier Cards XMC Software Support High-Performance XMC FPGAs, XMC 10gB

More information

JESD204B Intel Cyclone 10 GX FPGA IP Design Example User Guide

JESD204B Intel Cyclone 10 GX FPGA IP Design Example User Guide JESD204B Intel Cyclone 10 GX FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. JESD204B

More information

ML605 GTX IBERT Design Creation

ML605 GTX IBERT Design Creation ML605 GTX IBERT Design Creation December 2010 Copyright 2010 Xilinx XTP046 Revision History Date Version Description 12/21/10 12.4 Recompiled under 12.4. 10/05/10 12.3 Recompiled under 12.3. AR36576 fixed.

More information

40-Gbps and 100-Gbps Ethernet in Altera Devices

40-Gbps and 100-Gbps Ethernet in Altera Devices 40-Gbps and 100-Gbps Ethernet in Altera Devices Transceiver Portfolio Workshops 2009 Agenda 40/100 GbE standards 40/100 GbE IP in Altera devices Stratix IV GT FPGA features and advantages Altera standards

More information