THE latest generation of microprocessors uses a combination

Size: px
Start display at page:

Download "THE latest generation of microprocessors uses a combination"

Transcription

1 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz fourissue superscalar processor renames read addresses and reads data in a single operation. A 10-port, 116-word tag comparison unit and a rename logic unit use static-bit-line techniques in the comparison logic. Pulsed-power sense amplifiers achieve a 3.8-ns read delay while dissipating 31% less power than a nonpulsed circuit. I. INTRODUCTION THE latest generation of microprocessors uses a combination of register renaming and superscalar instruction issuance. Register renaming requires a complicated addressdecoding scheme that has the ability to change the assignment of addresses to storage locations. Issuing multiple instructions per cycle requires additional area to implement an increased number of register file read and write ports. A 116-word, 64-b register file meets the requirements of a high-performance 64-b processor [1]. For reads, a standard address decoder was replaced by a matching circuit from a content-addressable memory (CAM) to allow the direct access of renamed registers. To support the issue of up to two threeoperand store instructions and two two-operand arithmetic instructions per clock cycle, the register file was designed with ten 64-b read ports and four 64-b write ports. Special attention was paid to the layout, achieving a density of more than transistors per mm in a 0.4 m CMOS process, and novel circuit design techniques were used to reduce the power dissipation. A read access time of 3.8 ns was achieved fast enough to meet a 6.5 ns clock cycle time. A micrograph of the register file is shown in Fig. 1. The register file size is approximately 7.5 mm 3.2 mm in the 0.4 m CMOS process summarized in Table I. It contains transistors and dissipates approximately 3.6 W at 154 MHz. II. REGISTER FILE BLOCK DIAGRAM The register file consists of four blocks, as shown in Fig. 2: a 116-word by 64-b Data Storage Array (DSA), ten 116-word by 7-b ROM s, a 10-port, 116-word Tag Comparison Unit (TCU), and a Rename Logic Unit (RLU). The DSA has four standard write decoders but no read decoders; its read-enable word lines are generated in the TCU. The ROM s are programmed to output the addresses of the read-enable word lines activated Manuscript received May 2, 1995; revised September 9, The author is with HAL Computer Systems, Inc., A Fujitsu TM Company, Campbell, CA USA. (HAL is a registered trademark of HAL Computer Systems, Inc. Fujitsu is a trademark of Fujitsu, Limited.) IEEE Log Number Fig. 1. Register file micrograph. VDD Gate Length, drawn Gate Length, effective Metal 1 Pitch Metal 2 Pitch Metal 3 Pitch Metal 4 Pitch TABLE I PROCESS PARAMETERS 3.3 V 0.4 m 0.35 m 1.8 m 2.1 m 2.5 m 12.0 m by the TCU. The TCU compares the contents of b tag-match registers with 10 7-b read address ports; a match between a read address and tag-match register N activates read-enable word line for that read port. The RLU manages the writing of the tag-match registers and the validation of their contents; up to four rename mappings may be changed per clock cycle. The register file can also be viewed as consisting of 116 nearly identical words. Each word has 64 b of data storage, a 7-b tag-match register, ten 7-b comparators, an Address Valid register bit, and portions of the various ROM s and decoders. Each word has a unique physical address, which lies in the range from 0 to 115. The physical address is used to identify the word and the storage located within it. For example, changing the tag-match register at a given physical address will change the read address needed to access the data stored in this word; this mechanism is needed for the register-renaming scheme implemented within the processor. III. REGISTER RENAMING Register renaming is a technique used to dynamically convert standard microprocessor instructions into data-flow instructions; it was first described in a paper by R. M. Tomasulo /95$ IEEE

2 ASATO: A 14-PORT 3.8-ns 116-WORD 64-b READ-RENAMING REGISTER FILE 1255 Fig. 2. Register file block diagram. Fig. 3. Renamed-read critical path. [2]. Register renaming requires the association of each generalpurpose register that can be specified in an instruction with a tag. Inside the processor, each time a register appears in the destination field of an instruction, it is assigned a new tag that corresponds to the physical address of a word in the register file. In this way, the results of the instruction can be written directly into the register file using the tag as an address. Circuitry external to the register file ensures that words being used to hold register data needed by the processor are not reassociated with new registers. References to registers in issued instructions are converted by the processor into unique 7-b numbers called logical addresses. For each register that needs to be renamed, the RLU writes the register s logical address into the tag-match register located at the physical address of the new tag. The RLU also sets the Address Valid bit at the physical address of the new tag and clears the Address Valid bit at the physical address of the old tag. This enables comparisons using the tag-match register located at the new physical address and disables comparisons using the old location. Future reads to the renamed register will access the new storage word and ignore the contents of the old one. A logical address presented to a read port of the register file will match the contents of one of the tag-match registers. The matching register activates a read-enable word line, and data is read out from that word. Since the physical address is also used as a rename tag, the read operation uses the ROM s to output the current tag associated with a logical address. Both the data and the rename tag are sent to the processor s dataflow machine, which uses the data and tag to resolve data dependencies and initiate the execution of instructions. IV. RENAMED-READ CRITICAL PATH The critical path through the register file is the renamed-read path shown in Fig. 3. Read addresses are buffered into true and complement forms and compared against all b tag-match registers. For each read address, at most one match occurs; this is signaled by a rising voltage on the low voltage-swing node. A single-ended sense amplifier level-shifts this voltage into a full-swing read-enable word line. This accesses a word within the ROM, pulling down ROM bit lines. A single-ended sense amplifier converts these low-voltage-swing signals into full-swing CMOS outputs. Since the output of the ROM is a rename tag, these outputs are used to detect whether data currently being written into the register file is needed by an Fig port data storage bit cell. instruction that is reading the register file; if this is the case, the write data is multiplexed or bypassed in place of the data being read from the register file. For this reason, the ROM path has been optimized at the expense of the read data outputs. Under typical conditions and with 1.8 pf output loading, the delay from read addresses to ROM outputs is 3.0 ns. One optimization to decrease the delay of the ROM outputs was the insertion of buffers between the read enable word lines of the 7-b ROM s and the 64-b DSA; this decreased the loading on the word-line sense amplifiers. Once buffered, the read enable word lines (RE0-RE9) activate bit-line pulldowns in data storage cells such as the one shown in Fig. 4. The bit lines are then level-shifted and buffered by the single-ended sense amplifiers on the outputs. Under typical conditions and with an output loading of 1.0 pf, this path was simulated to be 3.8 ns. V. SENSE AMPLIFIERS Although using differential sense amplifiers could have yielded a faster circuit, single-ended sense amplifiers were used throughout the design to reduce area by 20% overall. The use of precharged circuitry was rejected due to the uncertainty in the arrival times of the read addresses and due to its susceptibility to noise. A self-timed register file would have been less sensitive to arrival times, but the area of the TCU was wire-limited, so any additional wires used for differential sensing or dummy bit-lines would directly increase the height of the register file; this was judged to be unacceptable. The register file was implemented using modified staticload sense amplifiers, such as the one shown in Fig. 5. These

3 1256 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 Fig. 5. Sense amplifier. Fig. 8. Noise margin simulation. Fig. 6. Fig. 7. Tag match circuit. Sense amplifier equivalent circuit. sense amplifiers were used in pseudo-nmos circuits, such as the tag-match comparison circuit shown in Fig. 6. Transistors such as are used to form a comparator circuit; if any bit in the tag register is unequal to the corresponding bit in the read address, node is pulled down. Also, if the Address Valid register bit for this word is cleared, it disables a match by pulling down using transistor. In normal operation, the TEST input of each sense amplifier is set to, yielding an equivalent circuit shown in Fig. 7. From node, transistor appears to be a 450 A current source. Current may flow from to only if transistor is conducting. The inverter formed by and has a switching threshold set to 900 mv; this means that as soon as rises to 900 mv, transistor is cut off, preventing from rising further. When this occurs, the current through charges node up to the 3.3-V, raising read-enable word line output RE to and turning off. When pulled down, node may range from 475 mv to 0 V, depending on how many pull downs are conducting. The highest voltage is set by the resistive divider formed by transistors and and must be below the switching threshold of the inverter formed by transistors and. At these voltage levels, current is pulled from into the pull-down network, lowering s voltage and setting RE to. Since all of the current flowing through empties into, as soon as all pull-down transistors are shut off, the voltage on will rise as fast as the current can charge the 0.25 pf wiring load on. When reaches 900 mv, shuts off, and begins to charge. If is pulled down again, the delay through the inverter allows to be partially discharged before is turned on again, improving the speed of the falling transition. An important feature of the sense amplifier design is the use of the TEST signal and transistors and. When TEST is set to, the current through is shut off, and node is clamped to. In this state, all internal nodes sit at or, and all static power dissipation is turned off, allowing quiescent-current testing to locate manufacturing defects by their current draw. The speed of the circuit depends on the rate at which the current flowing through can charge from to the threshold of the inverter. The noise margin of the sense amplifier depends on the difference between this input high level and the maximum input low level, set by the voltage divider of and. Making and wider decreases the input low level and increases the noise margin, but it also increases the capacitance on. Raising the threshold of the inverter will also improve the noise margin but would also increase the amount of time needed to charge. Clearly, there is a trade-off between performance and noise margin. The noise margin of the sense amplifier was tested using the circuit shown in Fig. 8. The injection of noise was simulated by inserting a noise voltage into the supply of the pulldown network; demonstrating that the sense amplifier could be switched by the pull-down network in all process, voltage, and temperature corners proved that the circuit had a noise margin that was at least equal to the noise voltage. The sense amplifier circuit itself was highly immune to noise voltages inserted into its power supplies due to the feedback between and the inverter. VI. PULSED-POWER SENSE AMPLIFIER The speed of the sense amplifier depends on the amount of current that can source. The amount of current, however, only makes a difference at the times when is switching. If switches at predictable times within a clock cycle, the current may be reduced once has reached its final value

4 ASATO: A 14-PORT 3.8-ns 116-WORD 64-b READ-RENAMING REGISTER FILE 1257 Fig. 11. Variable-width pulse generator. Fig. 9. Pulsed-power sense amplifier. Fig. 12. Three-stage programmable delay. Fig. 10. Waveforms of A pulsed-power tag match circuit. without any effect on the switching speed; this reduces the total power dissipation of the sense amplifier. The circuit can be speeded up by adding an additional current-sourcing transistor to the sense amplifier, shown as in Fig. 9. The high-power enable signal HP is pulsed to only during the part of the cycle where could be switching. An example of the use of pulsed-power techniques in the tag match circuit is shown in the waveforms of Fig. 10. The active-low high-power enable signal HP falls to at the same time that the address input changes. In 1.8 ns, rises from 0 V to the 900 mv switching threshold of the inverter. At this time, is shut off, and all of the current sourced by is used to charge, which triggers a rise in the read-enable word line RE, 2.0 ns after the input transitions. After this point in time, the sense amplifier has reached its final value, so may be turned off by deasserting HP. By pulsing HP as shown in the waveforms of Fig. 10, the power dissipation of a sense amplifier such as the one shown in Fig. 5 is reduced from 2.22 mw to 1.70 mw. Since the TCU simultaneously compares 116 tag registers against 10 read addresses, it contains a total of 1160 pulsed-power sense amplifiers; the use of pulsed-power techniques therefore saves a total of 600 mw of power. VII. VARIABLE-WIDTH PULSE GENERATOR The high-power enable signal HP is generated by a programmable pulse generator shown in Fig. 11. The heart of the pulse-generator is a four-stage variable-delay buffer similar to the three-stage one shown in Fig. 12. The variable delay was created by using multiplexers to choose paths through different numbers of delay elements. For example, if, then the path from input to output passes through no extra delay elements; but if, the path selected passes through five extra delay elements. The four-stage variable pulse-width generator used in the register file can create delays from 1.75 ns to 5.5 ns in 0.25 ns steps. The control signals for the pulse generator are set through the scan chain used to test and initialize the processor. Different settings are used to test for manufacturing defects and compensate for process variations. For example, the control signal LO, when set to, turns off all pulsed-power transistors; in this state, a defect in a transistor such as can be detected. Similarly, signal HI, when set to, allows the register file to operate at its highest power dissipation and its highest possible speed. Ideally, the pulse width should be set to its narrowest setting with the processor operating at its fastest clock rate in order to minimize the power dissipation without slowing down the rest of the chip. VIII. CONCLUSION Superscalar microprocessors require that their register files have many read and write ports to allow multiple instructions to be issued and multiple results to be written in each clock cycle. The high clocking rates of high-performance microprocessors require that these same register files have short delays. This challenges register-file designers to create large layouts that can operate at very high speeds. Small, fast layouts can be designed using NMOS-like circuitry, low voltage-swing bit lines, and single-ended sense amplifiers. Modulating the power dissipation of sense amplifiers can help reduce the overall power dissipation without any loss in speed; the resultant reduction in supply current may also allow narrower power bus wires to be used. Finally, replacing a standard register file architecture with a content-addressable memory matching circuit allows registers to be renamed and read in the same clock cycle, which can reduce the depth of a processor s pipeline.

5 1258 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 ACKNOWLEDGMENT The author would like to thank M. Shebanow, R. Montoye, J. Gmuender, W. Simmons, T. Patil, A. Ike, J. Zasio, M. Chiang, T. Lu, D. Tovey, M. Simone, H. Nguyen, S. Hale, and W. Walker for their technical contributions. The author would also like to thank Fujitsu Limited, of Kawasaki, Japan, for their significant contribution to the Fujitsu SPARC64 1 processor project. REFERENCES [1] T. Williams et al., SPARC64: A 64-b 64-active-instruction out-oforder-execution MCM processor, this issue, pp SPARC64 is a trademark of SPARC International, Inc., licensed by SPARC International, Inc., to HAL Computer Systems, Inc. [2] R. M. Tomasulo, An efficient algorithm for exploiting multiple arithmetic units, IBM J., vol. 11, pp , Jan Creigton Asato received the B.S. degree in electrical engineering from the California Institute of Technology in 1985 and the M.S. degree in computer science from the Leland Stanford Junior University in From 1985 to 1992, he worked on standard cell and data-path compiler libraries at VLSI Technology, Inc., San Jose, CA. He joined HAL Computer Systems, Inc., A Fujitsu Company, located in Campbell, CA, in 1992 and has worked on register files and other large circuit blocks.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed.

Lecture 13: SRAM. Slides courtesy of Deming Chen. Slides based on the initial set from David Harris. 4th Ed. Lecture 13: SRAM Slides courtesy of Deming Chen Slides based on the initial set from David Harris CMOS VLSI Design Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports

More information

Design of Low Power Wide Gates used in Register File and Tag Comparator

Design of Low Power Wide Gates used in Register File and Tag Comparator www..org 1 Design of Low Power Wide Gates used in Register File and Tag Comparator Isac Daimary 1, Mohammed Aneesh 2 1,2 Department of Electronics Engineering, Pondicherry University Pondicherry, 605014,

More information

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS ABSTRACT We describe L1 cache designed for digital signal processor (DSP) core. The cache is 32KB with variable associativity (4 to 16 ways) and is pseudo-dual-ported.

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

Introduction to CMOS VLSI Design Lecture 13: SRAM

Introduction to CMOS VLSI Design Lecture 13: SRAM Introduction to CMOS VLSI Design Lecture 13: SRAM David Harris Harvey Mudd College Spring 2004 1 Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access

More information

Introduction to SRAM. Jasur Hanbaba

Introduction to SRAM. Jasur Hanbaba Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial

More information

SRAM. Introduction. Digital IC

SRAM. Introduction. Digital IC SRAM Introduction Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports Serial Access Memories Memory Arrays Memory Arrays Random Access Memory Serial Access Memory

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

Digital Integrated Circuits Lecture 13: SRAM

Digital Integrated Circuits Lecture 13: SRAM Digital Integrated Circuits Lecture 13: SRAM Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec13 cwliu@twins.ee.nctu.edu.tw 1 Outline Memory Arrays

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology

Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology Design and Implementation of 8K-bits Low Power SRAM in 180nm Technology 1 Sreerama Reddy G M, 2 P Chandrasekhara Reddy Abstract-This paper explores the tradeoffs that are involved in the design of SRAM.

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

Lecture 11: MOS Memory

Lecture 11: MOS Memory Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is

More information

Design and verification of low power SRAM system: Backend approach

Design and verification of low power SRAM system: Backend approach Design and verification of low power SRAM system: Backend approach Yasmeen Saundatti, PROF.H.P.Rajani E&C Department, VTU University KLE College of Engineering and Technology, Udhayambag Belgaum -590008,

More information

RT54SX T r / T f Experiment

RT54SX T r / T f Experiment 955 East Arques Avenue, Sunnyvale, CA 94086 408-739-1010 RT54SX T r / T f Experiment July 08, 2002 BY Actel Product Engineering 1 DATE: July 08, 2002 DEVICE TYPE: RT54SX16-CQ256E RT54SX32-CQ208P WAFER

More information

Issue Logic for a 600-MHz Out-of-Order Execution Microprocessor

Issue Logic for a 600-MHz Out-of-Order Execution Microprocessor IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 5, MAY 1998 707 Issue Logic for a 600-MHz Out-of-Order Execution Microprocessor James A. Farrell and Timothy C. Fischer Abstract The logic and circuits

More information

EE577b. Register File. By Joong-Seok Moon

EE577b. Register File. By Joong-Seok Moon EE577b Register File By Joong-Seok Moon Register File A set of registers that store data Consists of a small array of static memory cells Smallest size and fastest access time in memory hierarchy (Register

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

CENG 4480 L09 Memory 2

CENG 4480 L09 Memory 2 CENG 4480 L09 Memory 2 Bei Yu Reference: Chapter 11 Memories CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 v.s. CENG3420 CENG3420: architecture perspective memory coherent

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology,

Low Power PLAs. Reginaldo Tavares, Michel Berkelaar, Jochen Jess. Information and Communication Systems Section, Eindhoven University of Technology, Low Power PLAs Reginaldo Tavares, Michel Berkelaar, Jochen Jess Information and Communication Systems Section, Eindhoven University of Technology, P.O. Box 513, 5600 MB Eindhoven, The Netherlands {regi,michel,jess}@ics.ele.tue.nl

More information

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES

STUDY OF SRAM AND ITS LOW POWER TECHNIQUES INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L22 S.1

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 23-1 guntzel@inf.ufsc.br Semiconductor Memory Classification

More information

CS250 VLSI Systems Design Lecture 9: Memory

CS250 VLSI Systems Design Lecture 9: Memory CS250 VLSI Systems esign Lecture 9: Memory John Wawrzynek, Jonathan Bachrach, with Krste Asanovic, John Lazzaro and Rimas Avizienis (TA) UC Berkeley Fall 2012 CMOS Bistable Flip State 1 0 0 1 Cross-coupled

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache

More information

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit

A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 4, August 2013, pp. 509~515 ISSN: 2088-8708 509 A Low Power 32 Bit CMOS ROM Using a Novel ATD Circuit Sidhant Kukrety*,

More information

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition

Memory Arrays. Array Architecture. Chapter 16 Memory Circuits and Chapter 12 Array Subsystems from CMOS VLSI Design by Weste and Harris, 4 th Edition Chapter 6 Memory Circuits and Chapter rray Subsystems from CMOS VLSI Design by Weste and Harris, th Edition E E 80 Introduction to nalog and Digital VLSI Paul M. Furth New Mexico State University Static

More information

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems 8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute

More information

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Analysis and Design of Low Voltage Low Noise LVDS Receiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. V (Mar - Apr. 2014), PP 10-18 Analysis and Design of Low Voltage Low Noise

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 Ritafaria D 1, Thallapalli Saibaba 2 Assistant Professor, CJITS, Janagoan, T.S, India Abstract In this paper

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Prototype of SRAM by Sergey Kononov, et al.

Prototype of SRAM by Sergey Kononov, et al. Prototype of SRAM by Sergey Kononov, et al. 1. Project Overview The goal of the project is to create a SRAM memory layout that provides maximum utilization of the space on the 1.5 by 1.5 mm chip. Significant

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

1. Designing a 64-word Content Addressable Memory Background

1. Designing a 64-word Content Addressable Memory Background UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Project Phase I Specification NTU IC541CA (Spring 2004) 1. Designing a 64-word Content Addressable

More information

Low Power SRAM Design with Reduced Read/Write Time

Low Power SRAM Design with Reduced Read/Write Time International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low

More information

DYNAMIC ASYNCHRONOUS LOGIC FOR HIGH SPEED CMOS SYSTEMS. I. Introduction

DYNAMIC ASYNCHRONOUS LOGIC FOR HIGH SPEED CMOS SYSTEMS. I. Introduction DYNAMIC ASYNCHRONOUS LOGIC FOR HIGH SEED CMOS SYSTEMS Anthony J. McAuley Bellcore (Room MRE-2N263), 445 South Street, Morristown, NJ 07962-1910, USA hone: (201)-829-4698; E-mail: mcauley@bellcore.com Abstract

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I

SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK UNIT I SIDDHARTH INSTITUTE OF ENGINEERING AND TECHNOLOGY :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK Subject with Code : DICD (16EC5703) Year & Sem: I-M.Tech & I-Sem Course

More information

High-Performance Full Adders Using an Alternative Logic Structure

High-Performance Full Adders Using an Alternative Logic Structure Term Project EE619 High-Performance Full Adders Using an Alternative Logic Structure by Atulya Shivam Shree (10327172) Raghav Gupta (10327553) Department of Electrical Engineering, Indian Institure Technology,

More information

10. Interconnects in CMOS Technology

10. Interconnects in CMOS Technology 10. Interconnects in CMOS Technology 1 10. Interconnects in CMOS Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October

More information

Dynamic CMOS Logic Gate

Dynamic CMOS Logic Gate Dynamic CMOS Logic Gate In dynamic CMOS logic a single clock can be used to accomplish both the precharge and evaluation operations When is low, PMOS pre-charge transistor Mp charges Vout to Vdd, since

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

Content Addressable Memory performance Analysis using NAND Structure FinFET

Content Addressable Memory performance Analysis using NAND Structure FinFET Global Journal of Pure and Applied Mathematics. ISSN 0973-1768 Volume 12, Number 1 (2016), pp. 1077-1084 Research India Publications http://www.ripublication.com Content Addressable Memory performance

More information

FIRST-LEVEL cache RAM blocks for the next generation

FIRST-LEVEL cache RAM blocks for the next generation 1682 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 11, NOVEMBER 1998 64-KByte Sum-Addressed-Memory Cache with 1.6-ns Cycle and 2.6-ns Latency Raymond Heald, Ken Shin, Vinita Reddy, I-Feng Kao, Masood

More information

SRAMs to Memory. Memory Hierarchy. Locality. Low Power VLSI System Design Lecture 10: Low Power Memory Design

SRAMs to Memory. Memory Hierarchy. Locality. Low Power VLSI System Design Lecture 10: Low Power Memory Design SRAMs to Memory Low Power VLSI System Design Lecture 0: Low Power Memory Design Prof. R. Iris Bahar October, 07 Last lecture focused on the SRAM cell and the D or D memory architecture built from these

More information

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI

CHAPTER 12 ARRAY SUBSYSTEMS [ ] MANJARI S. KULKARNI CHAPTER 2 ARRAY SUBSYSTEMS [2.4-2.9] MANJARI S. KULKARNI OVERVIEW Array classification Non volatile memory Design and Layout Read-Only Memory (ROM) Pseudo nmos and NAND ROMs Programmable ROMS PROMS, EPROMs,

More information

ECEN 449 Microprocessor System Design. Memories

ECEN 449 Microprocessor System Design. Memories ECEN 449 Microprocessor System Design Memories 1 Objectives of this Lecture Unit Learn about different types of memories SRAM/DRAM/CAM /C Flash 2 1 SRAM Static Random Access Memory 3 SRAM Static Random

More information

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Jesal P. Gajjar 1, Aesha S. Zala 2, Sandeep K. Aggarwal 3 1Research intern, GTU-CDAC, Pune, India 2 Research intern, GTU-CDAC, Pune,

More information

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall Topics! PLAs.! Memories:! ROM;! SRAM;! DRAM.! Datapaths.! Floor Planning Programmable logic array (PLA)! Used to implement specialized logic functions.! A PLA decodes only some addresses (input values);

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

190-MHz CMOS 4-Kbyte Pipelined Caches

190-MHz CMOS 4-Kbyte Pipelined Caches 90-MHz CMOS -Kbyte Pipelined Caches Apoorv Srivastava, Yong-Seon Koh, Barton Sano, and Alvin M. Despain ACAL-TR-9- November 99 ABSTRACT In this paper we describe the design and implementation of a 90-MHz

More information

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007 Minimizing Power Dissipation during Write Operation to Register Files Kimish Patel, Wonbok Lee, Massoud Pedram University of Southern California Los Angeles CA August 28 th, 2007 Introduction Outline Conditional

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Optimized CAM Design

Optimized CAM Design Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2640-2645 ISSN: 2249-6645 Optimized CAM Design S. Haroon Rasheed 1, M. Anand Vijay Kamalnath 2 Department of ECE, AVR & SVR E C T, Nandyal, India Abstract: Content-addressable

More information

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs

Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Design and Analysis of Ultra Low Power Processors Using Sub/Near-Threshold 3D Stacked ICs Sandeep Kumar Samal, Yarui Peng, Yang Zhang, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

A Low Power SRAM Base on Novel Word-Line Decoding

A Low Power SRAM Base on Novel Word-Line Decoding Vol:, No:3, 008 A Low Power SRAM Base on Novel Word-Line Decoding Arash Azizi Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, Ali Barati, and Ali Sarchami International Science Index, Computer and

More information

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology

Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Analysis of 8T SRAM with Read and Write Assist Schemes (UDVS) In 45nm CMOS Technology Srikanth Lade 1, Pradeep Kumar Urity 2 Abstract : UDVS techniques are presented in this paper to minimize the power

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 04, April -2018 LOW POWER

More information

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 16, NO. 2, MAY 2003 319 Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology Ming-Dou Ker,

More information

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

CMOS Logic Circuit Design   Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 CMOS Logic Circuit Design http://www.rcns.hiroshima-u.ac.jp Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 Memory Circuits (Part 1) Overview of Memory Types Memory with Address-Based Access Principle of Data Access

More information

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243

2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 2.5 V/3.3 V, 2-Bit, Individual Control Level Translator Bus Switch ADG3243 FEATURES 225 ps Propagation Delay through the Switch 4.5 Switch Connection between Ports Data Rate 1.5 Gbps 2.5 V/3.3 V Supply

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

Low-Power SRAM and ROM Memories

Low-Power SRAM and ROM Memories Low-Power SRAM and ROM Memories Jean-Marc Masgonty 1, Stefan Cserveny 1, Christian Piguet 1,2 1 CSEM, Neuchâtel, Switzerland 2 LAP-EPFL Lausanne, Switzerland Abstract. Memories are a main concern in low-power

More information

Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems

Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems by John Ziegler Introduction The reliability of data processing, data storage and communications

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

! Serial Access Memories. ! Multiported SRAM ! 5T SRAM ! DRAM. ! Shift registers store and delay data. ! Simple design: cascade of registers

! Serial Access Memories. ! Multiported SRAM ! 5T SRAM ! DRAM. ! Shift registers store and delay data. ! Simple design: cascade of registers ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 28: November 16, 2016 RAM Core Pt 2 Outline! Serial Access Memories! Multiported SRAM! 5T SRAM! DRAM Penn ESE 370 Fall 2016

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

,e-pg PATHSHALA- Computer Science Computer Architecture Module 25 Memory Hierarchy Design - Basics

,e-pg PATHSHALA- Computer Science Computer Architecture Module 25 Memory Hierarchy Design - Basics ,e-pg PATHSHALA- Computer Science Computer Architecture Module 25 Memory Hierarchy Design - Basics The objectives of this module are to discuss about the need for a hierarchical memory system and also

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver E.Kanniga 1, N. Imocha Singh 2,K.Selva Rama Rathnam 3 Professor Department of Electronics and Telecommunication, Bharath

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

EECS Dept., University of California at Berkeley. Berkeley Wireless Research Center Tel: (510)

EECS Dept., University of California at Berkeley. Berkeley Wireless Research Center Tel: (510) A V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications Hui Zhang, Vandana Prabhu, Varghese George, Marlene Wan, Martin Benes, Arthur Abnous, and Jan M. Rabaey EECS Dept., University

More information

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices.

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Course Introduction Purpose This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Objectives Learn approaches and design methods

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

VLSI microarchitecture. Scaling. Toni Juan

VLSI microarchitecture. Scaling. Toni Juan VLSI microarchitecture Scaling Toni Juan Short index Administrative glass schedule projects? Topic of the day: Scaling VLSI microarchitecture Toni Juan, Nov 2000 2 Administrative Class Schedule Day Topic

More information

NOTICE WARNING CONCERNING COPYRIGHT RESTRICTIONS: The copyright law of the United States (title 17, U.S. Code) governs the making of photocopies or

NOTICE WARNING CONCERNING COPYRIGHT RESTRICTIONS: The copyright law of the United States (title 17, U.S. Code) governs the making of photocopies or NOTICE WARNING CONCERNING COPYRIGHT RESTRICTIONS: The copyright law of the United States (title 17, U.S. Code) governs the making of photocopies or other reproductions of copyrighted material. Any copying

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques

Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques Power Analysis for CMOS based Dual Mode Logic Gates using Power Gating Techniques S. Nand Singh Dr. R. Madhu M. Tech (VLSI Design) Assistant Professor UCEK, JNTUK. UCEK, JNTUK Abstract: Low power technology

More information

Digital IO PAD Overview and Calibration Scheme

Digital IO PAD Overview and Calibration Scheme Digital IO PAD Overview and Calibration Scheme HyunJin Kim School of Electronics and Electrical Engineering Dankook University Contents 1. Introduction 2. IO Structure 3. ZQ Calibration Scheme 4. Conclusion

More information

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4)

Unit 7: Memory. Dynamic shift register: Circuit diagram: Refer to unit 4(ch 6.5.4) Unit 7: Memory Objectives: At the end of this unit we will be able to understand System timing consideration Storage / Memory Elements dynamic shift register 1T and 3T dynamic memory 4T dynamic and 6T

More information

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings Dr. Osman Ersed Akcasu, Jerry Tallinger, Kerem Akcasu OEA International, Inc. 155 East Main Avenue,

More information