EFFICIENT DESIGN OF COMPUTATIONAL SYSTEM USING REVERSIBLE LOGIC ON FPGA

Size: px
Start display at page:

Download "EFFICIENT DESIGN OF COMPUTATIONAL SYSTEM USING REVERSIBLE LOGIC ON FPGA"

Transcription

1 Indian Streams Research Journal ORIGINAL ARTICLE ISSN: EFFICIENT DESIGN OF COMPUTATIONAL SYSTEM USING REVERSIBLE LOGIC ON FPGA Nitin B. Kodam and P. C. Bhaskar Mtech Student, Electronics Technology, Department of Technology, Shivaji University, Maharashtra, India. P.G Coordinator, Electronics Technology, Department of Technology, Shivaji University, Maharashtra, India. Abstract: The Conventional digital circuits dissipate (ktln2) joules of heat energy when losing one bit of information. Thus, if logic gates are designed such that the information bits are not destroyed, the power consumption can be reduced dramatically because the energy dissipation in any conventional system is proportional to the number of bits lost during processing of bit. The reversible circuits do not lose any bits of information because there is one-to-one mapping between inputs and outputs. In the reversible logic we can, not only get the output from input but we can also retrieve input from output. Thus we designed an Efficient Computational system of reversible circuits for an acquisition of analogy data converting into digital data, computing the data and storing a data on FPGA. The system is based on reversible logic hence it dissipate less power than the conventional logical system. The system consist of ADC unit hence the real time data can be converted to digital data and further processed by ALU unit,the required data can be stored and retrieved into 64 bit designed memory unit. Hence this can be used in many day to day real life electronics application.such as Voice recording system, automation system, monitoring system, live video streaming system, etc. Keywords: Reversible Logic, Computational system, Data acquisition unit, ALU (Arithmetic and logical) unit, Memory unit.

2 I.INTRODUCTION The reversible logic has gathered a great attention in these recent years because of its reducing power dissipation in many applications. The reversible logic can be used in many application such as in low power CMOS design, Quantum computing machines, communication, and optical communication nanotechnology and in many for applications. In the conventional digital circuits when one bit of information is loosed then it dissipate (ktln2) joules of heat energy[1] Thus, if logic gates are designed such that the information bits are not destroyed, the power consumption can be reduced dramatically because the amount of energy loss is exactly equal to the number of bit loosed. The reversible circuit do not loss any bit of information during computation of bits hence there will be no any loss of energy. In reversible circuit there is a one-to-one mapping between inputs and outputs. In order to achieve low power designs reversible circuits are used.an Efficient Computational system design of reversible circuits consist of a data acquisition, Computation and storage unit [2][3].A reversible data acquisition system consists of a reversible analog-to digital converter which will convert analog signal into digital form, were 8:3 reversible priority encoder encodes the 8 bit digital data into 3 bits [4][5].The Reversible Computation design is basically an ALU. In which various sub modules such as adder/subtractor, multiplier and a logical unit are designed. The storage unit is 64 bit were reversible logic designed D FF is used which is used to store the computation unit outputs. On the basis of control signal, the required result is provided at the output.[6]. REVERSIBLE GATE Reversible logic has the feature to generate one to one correspondence between its input and output and a reversible gate is an n n data stripe block which uniquely maps between input vectors Iv= (I0, I1,..., In) and output vector O v= (O0, O1,..., On) denoted as Iv O v Other Basic Reversible Gate NOT Gate, Feynman / CNOT, Toffoli Gate (TG), FeynmanDouble Gate (F2G), Reversible Toffoli gate (DPG), Peres Gate (PG), Fredkin Gate (FRG), Double Peres (Dperes) Gate [9]. 2.PROPOSED SYSTEM Figure 1. Proposed computational system 2

3 The many existing irreversible circuits when losing one bit of information dissipates (ktln2) joules of heat energy similarly the traditional data acquisition system and Computational unit consume more power than reversible system. While designing these irreversible circuits we require more gates and almost all the millions of gates used to perform logical operations in a conventional computer are irreversible. So any lose of information will drastically increases power consumption in a conventional system. The increase in clock frequency to achieve greater speed and increase in number of transistors packed onto a chip to achieve complexity of a conventional system results in increased power consumption.these existing circuits also require more constant inputs and these circuits generate more garbage outputs than this reversible gates.to mitigate this problem we suggest the Reversible Computational system logic design which individually has less power dissipation and than the conventional system. The proposed work consist of designing data acquisition, Computational unit (ALU) and Storage system 4. HARDWARE IMPLEMENTATION The hardware designed on FPGA Spartan 3E and the system design incorporates three parts 1.Data acquisition unit 2. Computation (ALU) unit 3. Storage unit a)hardware for Computation system Here the Spartan 3E board has been used to develop the computational unit. The unit takes the input from a comparator circuit and do future reversible processing. The Reversible system consists a comparator circuit that compares the analogy input provided by potentiometer with the reference voltage and generates eight bit binary output.and this output is further encoded by reversible priority encoder that encodes this data into three bits.for Further computation with this data in (ALU) the second three bit operand is provided by three sliding switches and the operation for computation is decided by next three sliding switch. The designed eight byte reversible memory stores this computational output in eight different locations. The system also consist of two more sliding switches in which one switch is used to show the priority encoded data on to the three of eight LEDs and the last sliding switch is use for generating clock or enable signal for the system. The computation output stored in differed memory location can be retrieve by sliding switch as per the corresponding memory location address 5.SOFTWARE IMPLEMENTATION For any hardware to work correctly as desired it is necessary to embed required code written in particular language using associated software. As in this system FPGA is incorporated, software s used to write code are namely Xilinx version is used. The design consists of three blocks manly data acquisition unit, ALU unit and memory unit. For designing this system different reversible logic gates are used and the individual gates have its quantum cost. 3

4 6.RESULT Figure 2. Snapshot of RTL schematic of system Figure 3. Behavioral simulation results of system To acquiring the results from designed computational system varies I/P are applied and required O/P is tested.here Structural modeling has been used for programming. Figure shows the simulated waveform for each and every input and output signals of the system.this results can be used by other developer for there future work.the system quantum cost is shown in table and power of utilisation of system is 0.082W which is analysed by Xpower estimator of xilinx tool Figure 4.Xpower estimator values 4

5 Figure 5.Quantum cost of system 7. CONCLUSION The system consists of real time units such as analog to digital converter, ALU and memory unit. As this system is design based on reversible logic it minimize the power dissipation, so this unit in and all can be used in many real time applications such as Video recording, digital Cameras, mobiles, and in wide variety of applications domains like Nano-technology, Digital signal, processing, Cryptography, Communications. Optical computing, advanced computing 8.REFERENCES 1.Landauer R., (1961) Irreversibility and heat generation in the computing process. IBM J. Research and Development, 5(3): Lafifa Jamal, Farah Sharmin,Md. Abdul Mottalib, Hafiz Md. Hasan Babu Design and Minimization of Reversible Circuits for a Data Acquisition and Storage System IJET Volume 2 No. 1, January, Jun-Chao Wang I, Yu Pang I, Yang Xia A Bcd Priority Encoder Designed By Reversible Logic National Natural Science Foundation of China under the grant No , and by the Natural Science Foundation of Chongqing under the grant No. CSTC 2011 BB 2142 and No. KJl Majid Haghparast, Somayyeh Jafarali Jassbi, Keivan Navi and Omid Hashemipour,' Design of a Novel Reversible Multiplier Circuit Using HNG Gate in Nanotechnology World Applied Sciences Journal 3 (6): , 2008 ISSN IDOSI Publications, Raghava Garipelly, P.Madhu Kiran, A.Santhosh Kumar,' A Review on Reversible Logic Gates and their Implementation. Volume 3, Issue 3, March Md. Sazzad Hossain, Md. Rashedul Hasan Rakib, Md. Motiur Rahman,A. S. M. Delowar Hossain and Md. Minul Hasan A New Design Technique Of Reversible BCD adder Based On Nmos Withpass Transistor Gates 7.Lafifa Jamal,2Farah Sharmin,3Md. Abdul Mottalib,4Hafiz Md. Hasan BabuDesign and Minimization of Reversible Circuits for a Data Acquisition and Storage System, International Journal of engineering and Technology Volume 2 No. 1, January, Md. Saiful Islam, Muhammad Mahbubur Rahman, Zerina begum, and Mohd. Zulfiquar Hafiz Efficient Approaches for Designing Fault Tolerant Reversible Carry Look-Ahead and Carry- Skip Adders, MASAUM Journal of Basic and Applied Sciences, Vol. 1, No. 3, October Reversible Logic Gates and their Implementation ISSN , ISO 9001:2008 Certified Journal, Volume 3, Issue 3, March Saiful Islam and Rafiqul Islam Minimization of Reversible Adder circuits, Asian Journal of Information Technology4 (12) , Rangaraju H G et al, Low Power Reversible Parallel Binary Adder/Subtractor, International journal of VLSI design & Communication Systems (VLSICS) Vol.1, No.3, September Himanshu Thapliyal and M B Srinivas, Novel Design and Reversible Logic Synthesis of Multiplexer Based Full Adder and Multipliers, Forty Eight Midwest Symposium on Circuits and Systems, vol. 2, pp Yingtao Jiang, Abdulkarim Al-Sheraidah, Yuke Wang, Edwin Sha, and Jin-Gyun Chung, A Novel Multiplexer-Based Low-Power Full Adder, IEEE Transactions on circuits and systems -II: express briefs,vol. 51,No. 7 July Dmitri Maslov and Gerhard W. Dueck, Reversible Cascades With Minimal Garbage, IEEE 5

6 Transaction on computer-aided design of integrated circuits and systems, vol. 23, No. 11, November Alberto Nannarelli and Tom {s Lang, Low-Power Divider, IEEE Transaction on computers, vol... 48, No. 1, January Vivek V. Shende, Aditya K. Prasad, Igor L. Markov, and John P. Hayes, Synthesis of Reversible Logic Circuits,IEEE Transaction on computer-aided design of integrated circuits and systems, vol.22, No. 6, June William C. Athas, Lars J,Svensson, Jeffrey G. koller, Nestoras Tzartzanis, and Eric Ying Chin Chou, Low-power Digital Systems based on Adiabatic-Switching principle, IEEE Transactions on VLSI systems, Vol. 2, No. 4, December Rekha K James, Shahana T K, K Poulose Jacob, and Sreela Sasi, A New Look at Reversible Logic Implementation of Decimal Adder, the International Symposium on System-On-Chip, Lihui Ni, Zhijin Guan, and Wenying Zhu, A General Method of Constructing the Reversible Full- Adder, Third International Symposium on Intelligent Information Technology and Security Informatics, pp , T Toffoli, Reversible Computing, Technical MemoMIT/LCS/TM-151, MIT Lab for Computer Science, 1980.[20]. Bart Desoete, Alexis De Vos A reversible carry-look-ahead adder using control gates, Science direct, INTEGRATION, the VLSI journal 33 (2002)

Indian Streams Research Journal

Indian Streams Research Journal Vol 4 Issue 5 June 2014 ISSN No : 2230-7850 ORIGINAL ARTICLE International Multidisciplinary Research Journal Indian Streams Research Journal Executive Editor Ashok Yakkaldevi Editor-in-Chief H.N.Jagtap

More information

Novel BCD Adders and Their Reversible Logic Implementation for IEEE 754r Format

Novel BCD Adders and Their Reversible Logic Implementation for IEEE 754r Format Novel BCD Adders and Their Reversible Logic Implementation for IEEE 754r Format Himanshu Thapliyal, Saurabh Kotiyal and M.B Srinivas Center for VLSI and Embedded System Technologies, International Institute

More information

Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor, EC Department, Bhabha College of Engineering

Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor, EC Department, Bhabha College of Engineering A Review: Design of 16 bit Arithmetic and Logical unit using Vivado 14.7 and Implementation on Basys 3 FPGA Board Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor,

More information

Design And Development of Efficient Reversible Floating Point Arithmetic unit

Design And Development of Efficient Reversible Floating Point Arithmetic unit 2015 Fifth International Conference on Communication Systems and Network Technologies Design And Development of Efficient Reversible Floating Point Arithmetic unit Jenil Jain Electronics Engineering Department,

More information

Power Optimized Programmable Truncated Multiplier and Accumulator Using Reversible Adder

Power Optimized Programmable Truncated Multiplier and Accumulator Using Reversible Adder Power Optimized Programmable Truncated Multiplier and Accumulator Using Reversible Adder Syeda Mohtashima Siddiqui M.Tech (VLSI & Embedded Systems) Department of ECE G Pulla Reddy Engineering College (Autonomous)

More information

Design And Implementation Of Reversible Logic Alu With 4 Operations

Design And Implementation Of Reversible Logic Alu With 4 Operations IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p-ISSN: 2278-8735 PP 55-59 www.iosrjournals.org Design And Implementation Of Reversible Logic Alu With 4 Operations

More information

A Novel Implementation of Reversible Multiplexer Design Using MZI

A Novel Implementation of Reversible Multiplexer Design Using MZI A Novel Implementation of Reversible Multiplexer Design Using MZI Abstract: Atluri Sarath Raja M.Tech, Usha Rama Engineering College, Telaprolu, Vijayawada, Andhra Pradesh. Reversible logic; transforms

More information

Implementation of Pipelined Architecture for AES Algorithm using Reversible Logic

Implementation of Pipelined Architecture for AES Algorithm using Reversible Logic International Journal of Emerging Engineering Research and Technology Volume 3, Issue 12, December 2015, PP 138-145 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Implementation of Pipelined Architecture

More information

Robust Reversible Multiplexer Design using. Mach-Zehnder Interferometer

Robust Reversible Multiplexer Design using. Mach-Zehnder Interferometer Robust Reversible Multiplexer Design using Mach-Zehnder Interferometer M.Zaheer Ahmed #1, S.Md.Imran Ali #2, P.Nagashyam #3,T.S.D.V.Subbarao #4 # Assistant Professor, # Assitant Professor, # UG student,

More information

Design and Simulation of Power Optimized 8 Bit Arithmetic Unit using Gating Techniques in Cadence 90nm Technology

Design and Simulation of Power Optimized 8 Bit Arithmetic Unit using Gating Techniques in Cadence 90nm Technology Design and Simulation of Power Optimized 8 Bit Arithmetic Unit using Gating Techniques in Cadence 90nm Technology Umashree.M.Sajjanar 1, Maruti.Lamani 2, Mr.Mahesh.B.Neelagar 3 1 PG Scholar, Dept of PG

More information

Introduction. M Krishna* et al. ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology]

Introduction. M Krishna* et al. ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Electronic Model of Human Brain using Verilog M.Krishna 1 M. umarani 2 1 & 2: Assistant Professor,Department of ECE,Geethanjali College of Engineering and Technology Abstract: Reversible logic has become

More information

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE,

More information

REALIZATION OF AN 8-BIT PROCESSOR USING XILINX

REALIZATION OF AN 8-BIT PROCESSOR USING XILINX REALIZATION OF AN 8-BIT PROCESSOR USING XILINX T.Deepa M.E (Applied Electronics) Department of Electronics and Communication Engineering, Sri Venkateswara College of Engineering, Sriperumbudur, Chennai,

More information

Implementation of Optical Reversible Multiplexer Using Mach-Zehnder Interferometer

Implementation of Optical Reversible Multiplexer Using Mach-Zehnder Interferometer Implementation of Optical Reversible Multiplexer Using Mach-Zehnder Interferometer Nuwairah Abdul Azeez M.Tech, Jyothishmati Institute of Technology & Science, Karimnagar, Telangana. Abstract: With the

More information

DESIGN AND IMPLEMENTATION OF ADDER ARCHITECTURES AND ANALYSIS OF PERFORMANCE METRICS

DESIGN AND IMPLEMENTATION OF ADDER ARCHITECTURES AND ANALYSIS OF PERFORMANCE METRICS International Journal of Electronics and Communication Engineering and Technology (IJECET) Volume 8, Issue 5, September-October 2017, pp. 1 6, Article ID: IJECET_08_05_001 Available online at http://www.iaeme.com/ijecet/issues.asp?jtype=ijecet&vtype=8&itype=5

More information

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER

DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER DESIGN AND PERFORMANCE ANALYSIS OF CARRY SELECT ADDER Bhuvaneswaran.M 1, Elamathi.K 2 Assistant Professor, Muthayammal Engineering college, Rasipuram, Tamil Nadu, India 1 Assistant Professor, Muthayammal

More information

Low Power Circuits using Modified Gate Diffusion Input (GDI)

Low Power Circuits using Modified Gate Diffusion Input (GDI) IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 70-76 e-issn: 2319 4200, p-issn No. : 2319 4197 Low Power Circuits using Modified Gate Diffusion Input

More information

Design of Double Precision Floating Point Multiplier Using Vedic Multiplication

Design of Double Precision Floating Point Multiplier Using Vedic Multiplication Design of Double Precision Floating Point Multiplier Using Vedic Multiplication 1 D.Heena Tabassum, 2 K.Sreenivas Rao 1, 2 Electronics and Communication Engineering, 1, 2 Annamacharya institute of technology

More information

Designing an Improved 64 Bit Arithmetic and Logical Unit for Digital Signaling Processing Purposes

Designing an Improved 64 Bit Arithmetic and Logical Unit for Digital Signaling Processing Purposes Available Online at- http://isroj.net/index.php/issue/current-issue ISROJ Index Copernicus Value for 2015: 49.25 Volume 02 Issue 01, 2017 e-issn- 2455 8818 Designing an Improved 64 Bit Arithmetic and Logical

More information

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Senthil Ganesh R & R. Kalaimathi 1 Assistant Professor, Electronics and Communication Engineering, Info Institute of Engineering,

More information

Design and Analysis of 64 bit Multiplier using Carry Look Ahead Logic for Low Latency and Optimized Power Delay Product

Design and Analysis of 64 bit Multiplier using Carry Look Ahead Logic for Low Latency and Optimized Power Delay Product Design and Analysis of 64 bit Multiplier using Carry Look Ahead Logic for Low Latency and Optimized Power Delay Product Gaurav Vashisht 1, Puneeta Dadhich 2 Dept. of VLSI, ACSD, C-DAC, Mohali, India 1

More information

MODULO 2 n + 1 MAC UNIT

MODULO 2 n + 1 MAC UNIT Int. J. Elec&Electr.Eng&Telecoms. 2013 Sithara Sha and Shajimon K John, 2013 Research Paper MODULO 2 n + 1 MAC UNIT ISSN 2319 2518 www.ijeetc.com Vol. 2, No. 4, October 2013 2013 IJEETC. All Rights Reserved

More information

Performance Analysis of 64-Bit Carry Look Ahead Adder

Performance Analysis of 64-Bit Carry Look Ahead Adder Journal From the SelectedWorks of Journal November, 2014 Performance Analysis of 64-Bit Carry Look Ahead Adder Daljit Kaur Ana Monga This work is licensed under a Creative Commons CC_BY-NC International

More information

[Sahu* et al., 5(7): July, 2016] ISSN: IC Value: 3.00 Impact Factor: 4.116

[Sahu* et al., 5(7): July, 2016] ISSN: IC Value: 3.00 Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY SPAA AWARE ERROR TOLERANT 32 BIT ARITHMETIC AND LOGICAL UNIT FOR GRAPHICS PROCESSOR UNIT Kaushal Kumar Sahu*, Nitin Jain Department

More information

DESIGN & PERFORMANCE ANALYSIS OF 16 BIT RAM USING QCA TECHNOLOGY Sunita Rani 1, Naresh Kumar 2, Rashmi Chawla 3 1

DESIGN & PERFORMANCE ANALYSIS OF 16 BIT RAM USING QCA TECHNOLOGY Sunita Rani 1, Naresh Kumar 2, Rashmi Chawla 3 1 DESIGN & PERFMANCE ANALYSIS OF 16 BIT RAM USING QCA TECHNOLOGY Sunita Rani 1, Naresh Kumar 2, Rashmi Chawla 3 1 Deptt.of Electronics & Communication Engg., BPSMV, Khanpur Kalan, Sonepat, Haryana, India

More information

Designing a RISC CPU in Reversible Logic

Designing a RISC CPU in Reversible Logic 211 41st IEEE International Symposium on Multiple-Valued Logic Designing a RISC CPU in Reversible Logic Robert Wille Mathias Soeken Daniel Große Eleonora Schönborn Rolf Drechsler Institute of Computer

More information

Design of 16 bit Arithmetic and Logical Unit Using Vivado 14.7 and Implementation on Basys 3 FPGA Board

Design of 16 bit Arithmetic and Logical Unit Using Vivado 14.7 and Implementation on Basys 3 FPGA Board 2016 IJSRSET Volume 2 Issue 5 Print ISSN: 2395-1990 Online ISSN : 2394-4099 Themed Section: Engineering and Technology Design of 16 bit Arithmetic and Logical Unit Using Vivado 14.7 and Implementation

More information

UNIT II - COMBINATIONAL LOGIC Part A 2 Marks. 1. Define Combinational circuit A combinational circuit consist of logic gates whose outputs at anytime are determined directly from the present combination

More information

Design of 2-Bit ALU using CMOS & GDI Logic Architectures.

Design of 2-Bit ALU using CMOS & GDI Logic Architectures. Design of 2-Bit ALU using CMOS & GDI Logic Architectures. Sachin R 1, Sachin R M 2, Sanjay S Nayak 3, Rajiv Gopal 4 1, 2, 3 UG Students, Dept. of ECE New Horizon College of Engineering, Bengaluru 4 Asst.

More information

ISSN Vol.02, Issue.11, December-2014, Pages:

ISSN Vol.02, Issue.11, December-2014, Pages: ISSN 2322-0929 Vol.02, Issue.11, December-2014, Pages:1208-1212 www.ijvdcs.org Implementation of Area Optimized Floating Point Unit using Verilog G.RAJA SEKHAR 1, M.SRIHARI 2 1 PG Scholar, Dept of ECE,

More information

DESIGN OF QUATERNARY ADDER FOR HIGH SPEED APPLICATIONS

DESIGN OF QUATERNARY ADDER FOR HIGH SPEED APPLICATIONS DESIGN OF QUATERNARY ADDER FOR HIGH SPEED APPLICATIONS Ms. Priti S. Kapse 1, Dr. S. L. Haridas 2 1 Student, M. Tech. Department of Electronics, VLSI, GHRACET, Nagpur, (India) 2 H.O.D. of Electronics and

More information

MZI Implementation of Reversible Logic Gates, Multiplexers, Standard Functions and CLA Using Verilog HDL

MZI Implementation of Reversible Logic Gates, Multiplexers, Standard Functions and CLA Using Verilog HDL MZI Implementation of Reversible Logic Gates, Multiplexers, Standard Functions and CLA Using Verilog HDL Mr.Rama Krishna A M-Tech Student, Department of ECE, Godavari Institute of Engineering and Technology,

More information

High Performance and Area Efficient DSP Architecture using Dadda Multiplier

High Performance and Area Efficient DSP Architecture using Dadda Multiplier 2017 IJSRST Volume 3 Issue 6 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology High Performance and Area Efficient DSP Architecture using Dadda Multiplier V.Kiran Kumar

More information

VLSI Based 16 Bit ALU with Interfacing Circuit

VLSI Based 16 Bit ALU with Interfacing Circuit Available online at www.ijiere.com International Journal of Innovative and Emerging Research in Engineering e-issn: 2394-3343 p-issn: 2394-5494 VLSI Based 16 Bit ALU with Interfacing Circuit Chandni N.

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) ISSN 0976 6464(Print) ISSN 0976 6472(Online) Volume 4, Issue 6, November - December, 2013, pp. 85-92 IAEME: www.iaeme.com/ijecet.asp

More information

RevLib: An Online Resource for Reversible Functions and Reversible Circuits

RevLib: An Online Resource for Reversible Functions and Reversible Circuits RevLib: An Online Resource for Reversible Functions and Reversible Circuits Robert Wille 1 Daniel Große 1 Lisa Teuber 1 Gerhard W. Dueck 2 Rolf Drechsler 1 1 Institute of Computer Science, University of

More information

Mach-Zehnder Interferometer Based All Optical Reversible NOR Gates

Mach-Zehnder Interferometer Based All Optical Reversible NOR Gates 2012 IEEE Computer Society Annual Symposium on VLSI Mach-Zehnder Interferometer Based All Optical Reversible NOR Gates Saurabh Kotiyal, Himanshu Thapliyal and Nagarajan Ranganathan Department of Computer

More information

Exact Template Matching using Graphs

Exact Template Matching using Graphs Exact Template Matching using Graphs by Md. Mazder Rahman, Gerhard W. Dueck, and Joe Horton TR 13-224, April, 2013 Faculty of Computer Science University of New Brunswick Fredericton, NB, E3B 5A3 Canada

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF QUATERNARY ADDER FOR HIGH SPEED APPLICATIONS MS. PRITI S. KAPSE 1, DR.

More information

Design & Analysis of 16 bit RISC Processor Using low Power Pipelining

Design & Analysis of 16 bit RISC Processor Using low Power Pipelining International OPEN ACCESS Journal ISSN: 2249-6645 Of Modern Engineering Research (IJMER) Design & Analysis of 16 bit RISC Processor Using low Power Pipelining Yedla Venkanna 148R1D5710 Branch: VLSI ABSTRACT:-

More information

Published in: Proceedings of the 3rd International Symposium on Environment-Friendly Energies and Applications (EFEA 2014)

Published in: Proceedings of the 3rd International Symposium on Environment-Friendly Energies and Applications (EFEA 2014) Aalborg Universitet SSTL I/O Standard based environment friendly energyl efficient ROM design on FPGA Bansal, Neha; Bansal, Meenakshi; Saini, Rishita; Pandey, Bishwajeet; Kalra, Lakshay; Hussain, Dil muhammed

More information

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE

DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT ALU USING VERILOG LANGUAGE DESIGN AND IMPLEMENTATION OF 8 BIT AND 16 BIT USING VERILOG LANGUAGE MANIT KANTAWALA Dept. of Electronic & Communication Global Institute of Technology, Jaipur Rajasthan, India Abstract: In this Paper

More information

VLSI Implementation of High Speed and Area Efficient Double-Precision Floating Point Multiplier

VLSI Implementation of High Speed and Area Efficient Double-Precision Floating Point Multiplier VLSI Implementation of High Speed and Area Efficient Double-Precision Floating Point Ramireddy Venkata Suresh 1, K.Bala 2 1 M.Tech, Dept of ECE, Srinivasa Institute of Technology and Science, Ukkayapalli,

More information

A 4-bit Arithmetic and Logical Unit with fault detection capability using an informal testing process and tested using CPLD EPM7128SLC84-15

A 4-bit Arithmetic and Logical Unit with fault detection capability using an informal testing process and tested using CPLD EPM7128SLC84-15 A 4-bit Arithmetic and Logical Unit with fault detection capability using an informal testing process and tested using CPLD EPM7128SLC84-15 1 Abhishek Singh, 2 Mohd. Arif, 3 Kalpita Agrawal, 4 Anshita

More information

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 (Spl.) Sep 2012 42-47 TJPRC Pvt. Ltd., VLSI DESIGN OF

More information

Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3

Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number. Chapter 3 Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number Chapter 3 Area Efficient, Low Power Array Multiplier for Signed and Unsigned Number Chapter 3 3.1 Introduction The various sections

More information

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3

IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 IMPLEMENTATION OF LOW POWER AREA EFFICIENT ALU WITH LOW POWER FULL ADDER USING MICROWIND DSCH3 Ritafaria D 1, Thallapalli Saibaba 2 Assistant Professor, CJITS, Janagoan, T.S, India Abstract In this paper

More information

Design and Development of Vedic Mathematics based BCD Adder

Design and Development of Vedic Mathematics based BCD Adder International Journal of Applied Information Systems (IJAIS) ISSN : 229-0868 Volume 6 No. 9, March 201 www.ijais.org Design and Development of Vedic Mathematics based BCD Adder C. Sundaresan School of

More information

Area-Time Efficient Square Architecture

Area-Time Efficient Square Architecture AMSE JOURNALS 2015-Series: Advances D; Vol. 20; N 1; pp 21-34 Submitted March 2015; Revised Sept. 21, 2015; Accepted Oct. 15, 2015 Area-Time Efficient Square Architecture *Ranjan Kumar Barik, **Manoranjan

More information

LVCMOS IO Standards Based Processor Specific Green Comparator Design

LVCMOS IO Standards Based Processor Specific Green Comparator Design LVCMOS IO Standards Based Processor Specific Green Comparator Design 1 Chandrashekhar Patel, 2 Parth Gautam, 3 Priyanka Mehra, 4 Ankita Pundir, 5 Shivani Sharma 1,2,3,4,5 Dev Sanskriti Vishvavidyalaya

More information

I. Introduction. India; 2 Assistant Professor, Department of Electronics & Communication Engineering, SRIT, Jabalpur (M.P.

I. Introduction. India; 2 Assistant Professor, Department of Electronics & Communication Engineering, SRIT, Jabalpur (M.P. A Decimal / Binary Multi-operand Adder using a Fast Binary to Decimal Converter-A Review Ruchi Bhatt, Divyanshu Rao, Ravi Mohan 1 M. Tech Scholar, Department of Electronics & Communication Engineering,

More information

Let s put together a Manual Processor

Let s put together a Manual Processor Lecture 14 Let s put together a Manual Processor Hardware Lecture 14 Slide 1 The processor Inside every computer there is at least one processor which can take an instruction, some operands and produce

More information

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit P Ajith Kumar 1, M Vijaya Lakshmi 2 P.G. Student, Department of Electronics and Communication Engineering, St.Martin s Engineering College,

More information

Design, Analysis and Processing of Efficient RISC Processor

Design, Analysis and Processing of Efficient RISC Processor Design, Analysis and Processing of Efficient RISC Processor Ramareddy 1, M.N.Pradeep 2 1M-Tech., VLSI D& Embedded Systems, Dept of E&CE, Dayananda Sagar College of Engineering, Bangalore. Karnataka, India

More information

Design and Implementation of Advanced Modified Booth Encoding Multiplier

Design and Implementation of Advanced Modified Booth Encoding Multiplier Design and Implementation of Advanced Modified Booth Encoding Multiplier B.Sirisha M.Tech Student, Department of Electronics and communication Engineering, GDMM College of Engineering and Technology. ABSTRACT:

More information

Parallel Optimization of a Reversible (Quantum) Ripple-Carry Adder

Parallel Optimization of a Reversible (Quantum) Ripple-Carry Adder Parallel Optimization of a Reversible (Quantum) Ripple-Carry Adder Michael Kirkedal Thomsen Holger Bock Axelsen Department of Computer Science, DIKU, University of Copenhagen UC 28 Overview The end of

More information

HIGH PERFORMANCE QUATERNARY ARITHMETIC LOGIC UNIT ON PROGRAMMABLE LOGIC DEVICE

HIGH PERFORMANCE QUATERNARY ARITHMETIC LOGIC UNIT ON PROGRAMMABLE LOGIC DEVICE International Journal of Advances in Applied Science and Engineering (IJAEAS) ISSN (P): 2348-1811; ISSN (E): 2348-182X Vol. 2, Issue 1, Feb 2015, 01-07 IIST HIGH PERFORMANCE QUATERNARY ARITHMETIC LOGIC

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Monika Solanki* Department of Electronics & Communication Engineering, MBM Engineering College, Jodhpur, Rajasthan Review Article

More information

VLSI Implementation of Fast Addition Using Quaternary Signed Digit Number System

VLSI Implementation of Fast Addition Using Quaternary Signed Digit Number System VLSI Implementation of Fast Addition Using Quaternary Signed Digit Number System JYOTI R HALLIKHED M.Tech student, VLSI Design & Embedded Systems APPA Institute of Engineering & Technology Gulbarga, Karnataka,

More information

Analysis of Different Multiplication Algorithms & FPGA Implementation

Analysis of Different Multiplication Algorithms & FPGA Implementation IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 29-35 e-issn: 2319 4200, p-issn No. : 2319 4197 Analysis of Different Multiplication Algorithms & FPGA

More information

32-bit Signed and Unsigned Advanced Modified Booth Multiplication using Radix-4 Encoding Algorithm

32-bit Signed and Unsigned Advanced Modified Booth Multiplication using Radix-4 Encoding Algorithm 2016 IJSRSET Volume 2 Issue 3 Print ISSN : 2395-1990 Online ISSN : 2394-4099 Themed Section: Engineering and Technology 32-bit Signed and Unsigned Advanced Modified Booth Multiplication using Radix-4 Encoding

More information

Hemraj Sharma 1, Abhilasha 2

Hemraj Sharma 1, Abhilasha 2 FPGA Implementation of Pipelined Architecture of Point Arithmetic Core and Analysis of Area and Timing Performances Hemraj Sharma 1, Abhilasha 2 1 JECRC University, M.Tech VLSI Design, Rajasthan, India

More information

Design and Implementation of CVNS Based Low Power 64-Bit Adder

Design and Implementation of CVNS Based Low Power 64-Bit Adder Design and Implementation of CVNS Based Low Power 64-Bit Adder Ch.Vijay Kumar Department of ECE Embedded Systems & VLSI Design Vishakhapatnam, India Sri.Sagara Pandu Department of ECE Embedded Systems

More information

A Novel Architecture of Parallel Multiplier Using Modified Booth s Recoding Unit and Adder for Signed and Unsigned Numbers

A Novel Architecture of Parallel Multiplier Using Modified Booth s Recoding Unit and Adder for Signed and Unsigned Numbers International Journal of Research Studies in Science, Engineering and Technology Volume 2, Issue 8, August 2015, PP 55-61 ISSN 2349-4751 (Print) & ISSN 2349-476X (Online) A Novel Architecture of Parallel

More information

A Novel Design of 32 Bit Unsigned Multiplier Using Modified CSLA

A Novel Design of 32 Bit Unsigned Multiplier Using Modified CSLA A Novel Design of 32 Bit Unsigned Multiplier Using Modified CSLA Chandana Pittala 1, Devadas Matta 2 PG Scholar.VLSI System Design 1, Asst. Prof. ECE Dept. 2, Vaagdevi College of Engineering,Warangal,India.

More information

Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration

Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration 123 Implementation of Optimized ALU for Digital System Applications using Partial Reconfiguration NAVEEN K H 1, Dr. JAMUNA S 2, BASAVARAJ H 3 1 (PG Scholar, Dept. of Electronics and Communication, Dayananda

More information

Performance Evaluation of Guarded Static CMOS Logic based Arithmetic and Logic Unit Design

Performance Evaluation of Guarded Static CMOS Logic based Arithmetic and Logic Unit Design International Journal of Engineering Research and General Science Volume 2, Issue 3, April-May 2014 Performance Evaluation of Guarded Static CMOS Logic based Arithmetic and Logic Unit Design FelcyJeba

More information

University, Patiala, Punjab, India 1 2

University, Patiala, Punjab, India 1 2 1102 Design and Implementation of Efficient Adder based Floating Point Multiplier LOKESH BHARDWAJ 1, SAKSHI BAJAJ 2 1 Student, M.tech, VLSI, 2 Assistant Professor,Electronics and Communication Engineering

More information

A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier using Modified CSA

A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier using Modified CSA RESEARCH ARTICLE OPEN ACCESS A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier using Nishi Pandey, Virendra Singh Sagar Institute of Research & Technology Bhopal Abstract Due to

More information

An efficient greedy algorithm to create adaptive trees for fault localization in binary reversible circuits

An efficient greedy algorithm to create adaptive trees for fault localization in binary reversible circuits An efficient greedy algorithm to create adaptive trees for fault localization in binary reversible circuits Kavitha Ramasamy, Radhika Tagare, Ed erkins and Marek erkowski Department of Electrical and Computer

More information

Area Efficient SAD Architecture for Block Based Video Compression Standards

Area Efficient SAD Architecture for Block Based Video Compression Standards IJCAES ISSN: 2231-4946 Volume III, Special Issue, August 2013 International Journal of Computer Applications in Engineering Sciences Special Issue on National Conference on Information and Communication

More information

DESIGN AND IMPLEMENTATION OF FAST DECIMAL MULTIPLIER USING SMSD ENCODING TECHNIQUE

DESIGN AND IMPLEMENTATION OF FAST DECIMAL MULTIPLIER USING SMSD ENCODING TECHNIQUE RESEARCH ARTICLE OPEN ACCESS DESIGN AND IMPLEMENTATION OF FAST DECIMAL MULTIPLIER USING SMSD ENCODING TECHNIQUE S.Sirisha PG Scholar Department of Electronics and Communication Engineering AITS, Kadapa,

More information

Monday, January 27, 2014

Monday, January 27, 2014 Monday, January 27, 2014 Topics for today History of Computing (brief) Encoding data in binary Unsigned integers Signed integers Arithmetic operations and status bits Number conversion: binary to/from

More information

HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM

HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM Parekar P. M. 1, Thakare S. S. 2 1,2 Department of Electronics and Telecommunication Engineering, Amravati University Government College

More information

DEVELOPMENT OF FPGA MICROBLAZE PROCESSOR AND GSM BASED HEART RATE MONITORING SYSTEM

DEVELOPMENT OF FPGA MICROBLAZE PROCESSOR AND GSM BASED HEART RATE MONITORING SYSTEM DEVELOPMENT OF FPGA MICROBLAZE PROCESSOR AND GSM BASED HEART RATE MONITORING SYSTEM P. K. Gaikwad Department of Electronics, Willingdon College, Sangli, (M.S.), INDIA pawangaikwad2003@yahoo.co.in Abstract

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT K.Sandyarani 1 and P. Nirmal Kumar 2 1 Research Scholar, Department of ECE, Sathyabama

More information

A Modified Radix2, Radix4 Algorithms and Modified Adder for Parallel Multiplication

A Modified Radix2, Radix4 Algorithms and Modified Adder for Parallel Multiplication International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 90-95 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) A Modified Radix2, Radix4 Algorithms and

More information

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS International Journal of Computing Academic Research (IJCAR) ISSN 2305-9184 Volume 2, Number 4 (August 2013), pp. 140-146 MEACSE Publications http://www.meacse.org/ijcar DESIGN AND IMPLEMENTATION OF VLSI

More information

DESIGN AND IMPLEMENTATION OF APPLICATION SPECIFIC 32-BITALU USING XILINX FPGA

DESIGN AND IMPLEMENTATION OF APPLICATION SPECIFIC 32-BITALU USING XILINX FPGA DESIGN AND IMPLEMENTATION OF APPLICATION SPECIFIC 32-BITALU USING XILINX FPGA T.MALLIKARJUNA 1 *,K.SREENIVASA RAO 2 1 PG Scholar, Annamacharya Institute of Technology & Sciences, Rajampet, A.P, India.

More information

Reduction in Power Consumption of Packet Counter on VIRTEX-6 FPGA by Frequency Scaling. Pandey, Nisha; Pandey, Bishwajeet; Hussain, Dil muhammed Akbar

Reduction in Power Consumption of Packet Counter on VIRTEX-6 FPGA by Frequency Scaling. Pandey, Nisha; Pandey, Bishwajeet; Hussain, Dil muhammed Akbar Aalborg Universitet Reduction in Power Consumption of Packet Counter on VIRTEX-6 FPGA by Frequency Scaling Pandey, Nisha; Pandey, Bishwajeet; Hussain, Dil muhammed Akbar Published in: Proceedings of IEEE

More information

FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for Low Power Applications

FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for Low Power Applications IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 04, 2015 ISSN (online): 2321-0613 FPGA based Simulation of Clock Gated ALU Architecture with Multiplexed Logic Enable for

More information

Pipelined High Speed Double Precision Floating Point Multiplier Using Dadda Algorithm Based on FPGA

Pipelined High Speed Double Precision Floating Point Multiplier Using Dadda Algorithm Based on FPGA RESEARCH ARTICLE OPEN ACCESS Pipelined High Speed Double Precision Floating Point Multiplier Using Dadda Algorithm Based on FPGA J.Rupesh Kumar, G.Ram Mohan, Sudershanraju.Ch M. Tech Scholar, Dept. of

More information

Performance of Constant Addition Using Enhanced Flagged Binary Adder

Performance of Constant Addition Using Enhanced Flagged Binary Adder Performance of Constant Addition Using Enhanced Flagged Binary Adder Sangeetha A UG Student, Department of Electronics and Communication Engineering Bannari Amman Institute of Technology, Sathyamangalam,

More information

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic

A Novel Design of High Speed and Area Efficient De-Multiplexer. using Pass Transistor Logic A Novel Design of High Speed and Area Efficient De-Multiplexer Using Pass Transistor Logic K.Ravi PG Scholar(VLSI), P.Vijaya Kumari, M.Tech Assistant Professor T.Ravichandra Babu, Ph.D Associate Professor

More information

Fig.1. Floating point number representation of single-precision (32-bit). Floating point number representation in double-precision (64-bit) format:

Fig.1. Floating point number representation of single-precision (32-bit). Floating point number representation in double-precision (64-bit) format: 1313 DESIGN AND PERFORMANCE ANALYSIS OF DOUBLE- PRECISION FLOATING POINT MULTIPLIER USING URDHVA TIRYAGBHYAM SUTRA Y SRINIVASA RAO 1, T SUBHASHINI 2, K RAMBABU 3 P.G Student 1, Assistant Professor 2, Assistant

More information

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition Table of Contents 1. Introduction to Digital Logic 1 1.1 Background 1 1.2 Digital Logic 5 1.3 Verilog 8 2. Basic Logic Gates 9

More information

Review on Floating Point Adder and Converter Units Using VHDL

Review on Floating Point Adder and Converter Units Using VHDL Review on Floating Point Adder and Converter Units Using VHDL Abhishek Kumar 1, Mayur S. Dhait 2 1 Research Scholar, Agnihotri College of Engineering, Nagthana Road, Wardha (M.S), India 2 Professor, Department

More information

DESIGN, LAYOUT AND SIMULATION OF 8 BIT ARITHMETIC AND LOGIC UNIT USING C5 PROCESS TECHNOLOGY

DESIGN, LAYOUT AND SIMULATION OF 8 BIT ARITHMETIC AND LOGIC UNIT USING C5 PROCESS TECHNOLOGY DESIGN, LAYOUT AND SIMULATION OF 8 BIT ARITHMETIC AND LOGIC UNIT USING C5 PROCESS TECHNOLOGY Priyal Grover 1, Assist. Prof. HemantVerma 2 1,2 Department of Electronics and Communication Engineering Technocrats

More information

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Khumanthem Devjit Singh, K. Jyothi MTech student (VLSI & ES), GIET, Rajahmundry, AP, India Associate Professor, Dept. of ECE, GIET, Rajahmundry,

More information

Novel Design of Dual Core RISC Architecture Implementation

Novel Design of Dual Core RISC Architecture Implementation Journal From the SelectedWorks of Kirat Pal Singh Spring May 18, 2015 Novel Design of Dual Core RISC Architecture Implementation Akshatha Rai K, VTU University, MITE, Moodbidri, Karnataka Basavaraj H J,

More information

An Efficient Hybrid Parallel Prefix Adders for Reverse Converters using QCA Technology

An Efficient Hybrid Parallel Prefix Adders for Reverse Converters using QCA Technology An Efficient Hybrid Parallel Prefix Adders for Reverse Converters using QCA Technology N. Chandini M.Tech student Scholar Dept.of ECE AITAM B. Chinna Rao Associate Professor Dept.of ECE AITAM A. Jaya Laxmi

More information

Iterative Synthesis Techniques for Multiple-Valued Logic Functions A Review and Comparison

Iterative Synthesis Techniques for Multiple-Valued Logic Functions A Review and Comparison Iterative Synthesis Techniques for Multiple-Valued Logic Functions A Review and Comparison Mostafa Abd-El-Barr Department of Information Science, Kuwait University, Kuwait. Abstract - A number of heuristics

More information

Design of Parallel Self-Timed Adder

Design of Parallel Self-Timed Adder Design of Parallel Self-Timed Adder P.S.PAWAR 1, K.N.KASAT 2 1PG, Dept of EEE, PRMCEAM, Badnera, Amravati, MS, India. 2Assistant Professor, Dept of EXTC, PRMCEAM, Badnera, Amravati, MS, India. ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter African Journal of Basic & Applied Sciences 9 (1): 53-58, 2017 ISSN 2079-2034 IDOSI Publications, 2017 DOI: 10.5829/idosi.ajbas.2017.53.58 Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

An Area Efficient Mixed Decimation MDF Architecture for Radix. Parallel FFT

An Area Efficient Mixed Decimation MDF Architecture for Radix. Parallel FFT An Area Efficient Mixed Decimation MDF Architecture for Radix Parallel FFT Reshma K J 1, Prof. Ebin M Manuel 2 1M-Tech, Dept. of ECE Engineering, Government Engineering College, Idukki, Kerala, India 2Professor,

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari

Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari Design and Verification of Serial Peripheral Interface ISSN: 2321-9939 Design and Verification of Serial Peripheral Interface 1 Ananthula Srinivas, 2 M.Kiran Kumar, 3 Jugal Kishore Bhandari 1,3 MTech Student,

More information