Hello and welcome to this Renesas Interactive module that provides an architectural overview of the RX Core.

Size: px
Start display at page:

Download "Hello and welcome to this Renesas Interactive module that provides an architectural overview of the RX Core."

Transcription

1 Hello and welcome to this Renesas Interactive module that provides an architectural overview of the RX Core. 1

2 The purpose of this Renesas Interactive module is to introduce the RX architecture and key features of the RX core. In this course, we ll cover: - the CPU core and pipeline - how the CPU interfaces to memory and the rest of the system - a brief overview of the RX instruction set - an introduction to the RX s flexible interrupt handling - an under-the-hood look at the RX floating point unit - and we ll wrap up with a quick discussion of the high-speed memory built into every RX chip. This session moves along pretty quickly and should be done in about 20 minutes. Let s get started. 2

3 We ll start with a discussion about the differences between Complex Instruction Set versus Reduced Instruction Set Computer architecture, or CISC versus RISC. Starting with CISC, where the ultimate goal is to have a small memory footprint, here are some key attributes of traditional CISC architecture. Generally, all instructions can access memory, many addressing modes and rich instructions add efficiency, and variable length instructions pack code tightly into program storage space, resulting in lower requirements for program memory size. This approach does have its drawbacks, though. CISC instructions can take many clocks to execute, CISC s are difficult to pipeline reducing performance, and interrupt responses can be longer. Now let s take a look at the attributes of RISC processors, where the goal is to achieve one clock per instruction. The instructions, as you would expect, are much simpler and fewer in number on a RISC. Access to memory is only through load and store commands. Instructions are in a fixed format with typically only one or two instruction lengths available. This results in a larger code footprint, but it does make pipelining easier which in turns makes it possible to achieve the goal of one clock-per-instruction. Wouldn t it be great if we could take the best attributes of CISC and combine them with the best attributes of RISC? Well, RX does exactly that providing the best blend of both CISC and RISC. It capitalizes on the positive features of each, rejecting the negative ones. From the CISC side, the RX adopts a rich instruction set with many addressing modes, allowing the RX instructions to directly access memory. A variable-byte-length instruction format allows for extremely compact code. 3

4 From the RISC side, the RX adopts a uniform register set, pipelining that allows one clock per instruction performance, and fast interrupt response time. As a bonus, RX has a floating point unit, which really helps in real-world control applications. Let s take a closer look inside the CPU core. 3

5 Here we ll examine the RX CPU core itself, the pipelined instruction path, and the operand path. At the heart of the RX600 MCU is a 100MHz, 32-bit CISC CPU core seen here, capable of 1.65 Dhrystone MIPS/MHz. The CPU has sixteen, 32-bit general-purpose registers, striking the optimum balance between performance and cost. There s also: - A full single precision floating point unit tightly coupled to the CPU core. - A multiply accumulate unit producing either a 48-bit MAC result in one-cycle, or an automatically repeating MAC producing an 80-bit result for very efficient DSP operations. - A hardware multiply and divide unit. - Fast interrupt control. - On-chip JTAG debugger with high-speed trace. - Memory Protection Unit. Now let s examine the paths between the CPU core and memory. The RX is based on an enhanced Harvard architecture, with a 64-bit wide dedicated bus for instructions, and a 32-bit wide dedicated bus for operands, or data. This is an extremely optimum arrangement. The 4

6 longest RX instruction is 64 bits, and the native data size is 32-bits, but options exist for 8-, 16-, and 64-bit data as well. The data can even reside at odd address boundaries to eliminate wasted space in expensive SRAM. Typically, the instruction bus is connected to Flash memory, and the data bus to SRAM. But as we ll see later it does not always have to be this way because RX has enhanced Harvard architecture. Notice that the Flash can be read at 100MHz, the same frequency as the CPU. This means the CPU will not stall while waiting to read instructions from Flash at 100MHz, even when the instruction is 64 bits wide. Also notice that the SRAM can be read, and written, at 100MHz to give the CPU full speed access for data. Now moving to the instruction pipeline. A pipelined architecture is absolutely necessary for any high performance CPU to reach that ultimate goal of executing one instruction in just one CPU clock cycle. The RX has a 5-stage pipeline, breaking up decoded instructions into many smaller parallel tasks, reducing the amount of sequential logic. A 5-stage pipeline enables the RX CPU core to be clocked extremely fast, in fact, increasing to 200MHz in the future. The five pipeline stages are; - Fetch - Decode - Execute - Memory access - Register Write-back As you can see, on each CPU clock the instruction pipeline gets progressively filled, and by the 4 th clock cycle, it s already possible to achieve one clock per instruction, or one CPI. Looking closer at one individual cycle in the pipe you can see that the CPU can command both an instruction fetch and simultaneously access data in this same CPU clock cycle. If not for the Enhanced Harvard architecture, this concurrent activity would not be possible, causing a pipeline stall. So far we ve discusses CPU access to extremely fast local Flash and SRAM memory, but the RX core can access slower memories and peripherals too. In this case there is a small prefetch queue to look ahead and prefetch instructions during idle bus cycles when executing from slower memory, such as external memory. This prefetch queue is 4 deep, and each stage is 64-bits wide. This means there can be as many as 32, 8-bit instructions in the queue at one time. The prefetch queue helps to maintain a steady flow of instructions to the CPU, filling gaps during idle bus time while instructions are sequential, but the queue also reduces stalls when the CPU takes a branch in instruction flow. If the target branch instruction is residing in the queue, there s no delay. If the target is not in the queue, the queue must be flushed and reloaded. The actual delay to refill the first entry into queue depends on the access time of these slower memories. And finally, there is a write buffer to prevent the CPU from stalling after writing to a slow external memory, or to a slow peripheral device. This buffer allows the CPU to carry on at full speed and not to wait for the write operation to complete. 4

7 Now let s examine how the RX CPU core fits into the entire system 4

8 Here is the same RX CPU core we just discussed, with its 64-bit instruction interface, and its 32-bit data interface. We discussed Enhanced Harvard architecture, here is where it happens, in this bus matrix. The matrix allows either instructions or data to be accessed through any one of three different paths. - The first is the 64-bit path to on-chip 100MHz Flash memory. - The second is a 64-bit path to on-chip 100MHz SRAM. - The third is access to a high-speed 32-bit wide, 50MHz internal bus, named Internal Main Bus 1. The CPU is bus master to the bus matrix, with Flash, SRAM, and Internal Bus 1 acting as slaves. Internal Bus 1 also gives the CPU access to the external bus pins through the Bus State Controller, or BSC, as well as to the on-chip peripherals through a Bus Bridge. We ll see the connection to on-chip peripherals in a moment. Looking back at the bus matrix, you can see here that the CPU can fetch instructions from any of the 3 slaves while simultaneously the CPU can also access data (or operands) from any of the 3 slaves. This is enhanced Harvard architecture, meaning the CPU can execute code from SRAM, and access data from Flash if desired. This option allows very flexible operation, such as accessing data tables in Flash for example, or downloading code into SRAM and executing it, as another example. In summary, the 100MHz on-chip memory means the RX CPU can run full speed with no delays from on-chip memory, the prefetch queue minimizes delays when the CPU accesses slower memories, and the RX CPU is the sole master of Internal Main Bus 1. Next we look at Internal Main Bus 2 5

9 Here is Internal Main Bus 2. Three bus masters can arbitrate for bus ownership; they are the Ethernet DMA controller, the general DMA controller, and the Data Transfer Controller. Each of these controllers offload the CPU while moving data from peripheral to peripheral, peripheral to memory, and memory to memory. The Internal Main Bus 2 can also access the external bus pins through the BSC, as well as accessing peripherals through a bus bridge. RX has a very unique feature in the External DMA controller, or EXDMA. This DMA controller can take possession of the BSC and the external CPU bus pins to orchestrate the movement of data from one external device to another external device, with the data never entering the RX MCU. This is very efficient in that loading on the CPU is minimal even when high bandwidth data transfers are being conducted outside the chip. For example, EXDMA easily supports moving RGB image data from an external frame buffer RAM to an external TFT-LCD panel, as in our Direct Drive solution. There are as many as six individual internal peripheral busses in some RX devices, grouping on-chip peripherals to optimize data flow. For example, the USB interface resides on its own peripheral bus to minimize interference from slower peripheral devices. Here you can see the large number of system functions on the RX for connectivity, analog, timers, and system functions. The blocks of peripherals shown here are grouped logically to simplify this drawing, but do not necessarily reflect how they are physically grouped on the device itself. Now let s look at an example of how this bus structure can be exploited for maximum throughput. Here you see that during one clock cycle the CPU can fetch instructions from Flash memory while at the same time the CPU can be writing data to a USB or serial channel to send data to another device. At the same time, the Ethernet DMA controller is moving data packets out on the Ethernet bus from SRAM while the EXDMA is moving data on the external data bus from one external device to another. This could be a graphic frame buffer DRAM and a color TFT-LCD panel. Notice that all four of these transfers are happening simultaneously, each one on separate physical busses with no interference to each other. But it s still possible to move even more data while this is occurring. The blue arrow shows that the general DMA controller can move data from a peripheral, like the ADC, into SRAM, by arbitrating access of SRAM with the Ethernet DMA controller. There is plenty of bandwidth on Internal Main Bus 2 because it s 32 bits wide and operates at 50Mhz. This interleaved access of SRAM has very little impact on either the Ethernet or the ADC transfers. And finally, the yellow arrow shows how the Data Transfer Controller can move data from a timer over to a DAC output, by using the peripheral busses. Again, there is minor arbitration needed on the Peripheral bus, but the bandwidth is more than sufficient to minimize interference. So in the end, here are 4 completely independent highspeed transfers occurring, plus 2 more interleaved transfers, showing the use of all five bus masters in the chip: the CPU, Ethernet DMAC, DMAC, DTC, and EXDMA. 6

10 Now let s talk about the RX s instruction set, and as we do, put yourself in the role of the engineer tasked with designing the instruction set for the RX. Your job is to improve code density, speeding throughput in all aspects of instruction handling and allowing the use of smaller memory devices or the ability to add more feature in the same memory. And you want to support modern high level languages and make it easy for the compiler writes to create efficient optimizers. So, how would you do this? No doubt, first you d try and get your hands on some real world application code. You d look at it to see the kinds of applications your customers are writing, and what kinds of instructions and memory addressing they typically use. And that s just what Renesas engineers did in designing the RX s instruction set: they gathered real code from over 32 customers across a spectrum of industries and analyzed it. This led them to adopt a variable byte-length instruction to help minimize the code footprint. Once you did that, you d look at histograms of instructions usage, take the most commonly used instructions and assign them to the shortest instruction code. Then you d add flexible addressing to fully support the bus architecture we saw earlier. So here s the resultant RX instruction set. It includes standard arithmetic & logic instructions, instructions for data transfer, branching, bit manipulation, system control, plus special instructions to support floating-point, DSP operations and even string operations. 61% of these instructions have a single-cycle version, as well as longer versions that allow more flexible addressing. Let s take a detailed look at how one particular instruction is highly optimized in the RX. As we mentioned, Renesas engineers analyzed code from real-world applications and found that the single most used instruction is the MOV instruction. This instruction accounts for 31% of all instructions in a typical embedded application. 7

11 Let s see how MOV is implemented in the RX. 7

12 Here you see listed for the MOV instruction it s Function, or type of move, and the source & destination for the move. In this case we re moving a 32-bit immediate value into one of the RX general registers. I ll also show you the length of the various forms of the Move instruction. OK, first form is 6 bytes long, and of course 4 of these bytes hold the immediate data. Next are the functions of moving an immediate value out to memory (Flash or SRAM). In this case the compiler has many forms to chose from in terms of instruction length and address mode to do just what s needed, nothing more, for the most optimum performance and memory footprint. For example, if just 1 byte of immediate data needs to be moved to a register, then why waste a longer instruction when a 3-byte form will do it? And finally, here are the remaining forms of Move, all very compact at only 2 bytes each, and very powerful. Let s take the last one for example, moving a 32-bit data item from one memory location to another memory location, with the 32-bit addresses of each of these locations stored in general registers as shown. This powerful memory-to-memory move occupies only 2 bytes of code space. 8

13 So, does all this optimizing of the RX instruction set pay off? Yes! Five different embedded applications were benchmarked by Renesas using the RX and a Cortex-M3 based MCU. For all benchmarks the compilers were set to optimize code size for smallest memory footprint. The RX compiler produced code that was up to 28% smaller than the Cortex-M3 based MCU. You can see that a lot of precious program storage is wasted in the M3-based MCU by the fixed instruction lengths used versus the variable-byte-length instructions of the RX. 9

14 Let s look now at interrupt processing on the RX. Interrupts are used in embedded systems to react to time-sensitive events, and the RX provides a number of ways to optimize response to interrupts. Here s how the RX handles a normal interrupt. Once the interrupt fires, the CPU automatically resolves the interrupt source and selects the correct vector, pushes the Program Status Word and Program Counter on the stack, modifies the PSW to reflect the current interrupt state, and then starts execution of the user s Interrupt Service Route, or ISR. This hardware automated portion of this process takes typically 7 clock cycles. At which point we re in our ISR. The first thing we need to do is to save whatever registers we ll be using onto the stack so that we can restore them on the way out of the ISR. Then we have our actual ISR processing, followed by the restoration of those registers we saved on the way in. This completes software interrupt processing, and as the ISR is exited with the RTE instruction, hardware processing continues with the hardware restoration of the PC and the PSW from the stack. This portion takes 6 clocks. This is pretty efficient, but what if we could cut out the pushing and popping of the PSW and PC? Well we can with the RX Fast Interrupt. You can specify one interrupt source as the Fast Interrupt. The Fast Interrupt differs from other interrupts in that the PC and PSW, instead of being stored on the stack, are instead stored in dedicated backup registers which are much faster to access. So the hardware portion of the context save & restore are speed up. Here s what it looks like When the interrupt fires now the PC and PSW are stored in the backup registers. This saves 2 clocks on entry. As before, software saves additional registers on entry to the ISR, executes the ISR code, and then restores registers on exit. Once the Return From Exception instruction is executed, the hardware portion of the context restore is shortened by 3 clocks over a normal interrupt as the PC and PSW are restored from the backup registers rather than the stack. Using the fast interrupt, we ve saved 5 clocks over a standard interrupt. This is a nice improvement, but you can see there is still some housekeeping going on in software as we enter the ISR. Software has to save to the stack the registers it will use, and then pop them off on the way out. Wouldn t it be great if we could dedicate a few of the general purpose registers for use by the ISR to eliminate this overhead? The RX compiler allows you to set aside up to four registers for use only by the fast interrupt routine. Let s see how the ISR looks now We still have the same hardware entry, typically 5 clocks. But now there is no need to push or pop registers, the ISR starts running immediately with no need for saving context on entry, and no restoring of context on exit. And the hardware side of the return remains at 3 clocks. By setting aside a small block of registers, you can save many clocks over a standard interrupt. And while your main line code may experience a small decrease in performance as a result of running off of a smaller register set in this mode, with the RX you have the choice of how you d like to optimize performance for your application. 10

15 We mentioned earlier that the RX provides dedicated hardware for floating point math. Let s take a look at the RX Floating Point Unit, or FPU, now. The RX FPU supports IEEE 754 single precision floating point. It operates directly on registers or memory. In less sophisticated devices you have to copy your operands out to the FPU, issue a command, wait for the results, and then fetch the results. With the RX s FPU you don t need to do that it is very efficient. For example, we can multiply the value in register R4 by a floating point value and store the result back in R4. The FPU can fetch the operands directly from the CPU register and from flash, and write the result back to a register. All IEEE 754 exceptions are handled, and a full complement of floating point instructions with appropriate addressing modes are included. So is it fast? Yes a basic floating point add on two registers completes in just 3 clocks, or 30 ns at 100 MHz. What does it mean for real-world applications? It opens up tremendous opportunity to do filtering, signal processing, and other tasks that would have formerly required a low-end DSP. As a real-world example, an 8-tap FIR completes in under a microsecond. 11

16 Let s take a look under the hood and see how the compiler and the RX s FPU work together to make your application run faster. Here s a typical real-world math problem: converting temperature from degrees C to degrees F. This is an overly simple example, but even in this simple example you ll appreciate how much overhead the FPU eliminates. Here s some C code to do the conversion it s written using single precision match, so it s nice and readable. Let s break it down: - First we have some single precision variables - Floating point constants - Three different floating point operations 12

17 Let's a look at the code emitted by the compiler. Surprisingly only five instructions are needed. We see our constants are stored in IEEE 754 format. We can see that we have RX floating point instructions and that these instructions operate directly on memory and registers. 13

18 To really appreciate the efficiency of the FPU, let s look at what happens if we tell the compiler to turn of FPU code generation and to instead use the floating point emulation software library. We ll look at just one floating point instruction the floating point divide or FDIV. With the FPU support turned off, the compiler makes a call instead into a library function to do the math, you can see it s quite a few instructions and some more instructions and some more instructions and some more Imagine how much extra time all of that takes! What if you re in a loop? It takes over 100 instructions to do the same work as one instruction when using the FPU! 14

19 Next we look at the effects of the native Flash operating speed vs. CPU performance. The RX family uses Renesas s 90nm flash MONOS technology having the fastest access time of all embedded Flash memory in the MCU industry, at just 10nsec read time, or 100MHz. Because the Flash memory can provide instructions to the RX CPU at the same rate the CPU consumes them, there s no need for memory acceleration techniques. If we examine a pipelined processor running at 30 MHz coupled to a Flash memory with a native speed which is also 30 MHz, there are no pipeline stalls as shown here and performance is linear with clock speed. However, once the speed of a CPU with slower flash rises above 30 MHz, 1 wait-state must be added after the Instruction Fetch stage to wait on the flash. And again at every multiple of the native Flash speed. Each time reducing overall CPU performance as you can see on the graph. The RX, however, can continue all the way up to 100MHz with no wait-states as shown. 15

20 So how to all these features work together? What are some real measurements we can look at to objectively determine the performance of the RX? Dhrystone is a benchmark that's been around for years. And while it isn't the best measure of all the things make an embedded system perform, it is a good baseline. The RX clocks in at a very impressive 1.65 Dhrystone MIPS/MHz. Because of the zero-wait state, 10nS flash, this performance scales linearly right up to 100 MHz delivering an impressive 165 DMIPS. A new suite of benchmarks more geared towards embedded systems has been crafted by EEMBC. Their base benchmark is the Coremark. The RX scores very well here, too, with a Coremark of and a Coremark/MHz score of 2.24 (again, performance scales linearly on the RX). Finally, Renesas has performed some internal benchmarks on the floating point unit in the RX to measure its suitability for DSP-like applications such as digital filtering. The RX can perform an 8-tap floating-point Finite Impulse Response filter in under 1uS. This level of performance allows the RX to be used in applications that were previously the domain of DSP's. 16

21 Let s summarize what we ve seen: - In this module you ve learned about the RX CPU core and pipeline, - The interfaces used by the core to talk to memory and peripherals, - The unique instruction set of the RX that merges the best of CISC & RISC, - The flexible interrupt handling that allows you to craft low-latency service routines, - The single-precision floating point unit that gives you number-crunching power in a microcontroller, - And the high-speed memory that makes sure all of these features flow through the chip at full speed. Thanks for watching! 17

22 18

23 Thank You 19

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Optimizing RX Performance

Optimizing RX Performance Optimizing RX Performance Renesas Electronics America Inc. Renesas Technology & Solution Portfolio 2 Microcontroller and Microprocessor Line-up 2010 2012 32-bit 1200 DMIPS, Superscalar Automotive & Industrial,

More information

Computer and Hardware Architecture I. Benny Thörnberg Associate Professor in Electronics

Computer and Hardware Architecture I. Benny Thörnberg Associate Professor in Electronics Computer and Hardware Architecture I Benny Thörnberg Associate Professor in Electronics Hardware architecture Computer architecture The functionality of a modern computer is so complex that no human can

More information

Hello and welcome to this Renesas Interactive module that provides an overview of the RX DMA Controller

Hello and welcome to this Renesas Interactive module that provides an overview of the RX DMA Controller Hello and welcome to this Renesas Interactive module that provides an overview of the RX DMA Controller 1 The purpose of this Renesas Interactive module is to give you a basic understanding of the RX Direct

More information

Course Introduction. Purpose: Objectives: Content: Learning Time:

Course Introduction. Purpose: Objectives: Content: Learning Time: Course Introduction Purpose: This course provides an overview of the Renesas SuperH series of 32-bit RISC processors, especially the microcontrollers in the SH-2 and SH-2A series Objectives: Learn the

More information

ARM ARCHITECTURE. Contents at a glance:

ARM ARCHITECTURE. Contents at a glance: UNIT-III ARM ARCHITECTURE Contents at a glance: RISC Design Philosophy ARM Design Philosophy Registers Current Program Status Register(CPSR) Instruction Pipeline Interrupts and Vector Table Architecture

More information

NXP Unveils Its First ARM Cortex -M4 Based Controller Family

NXP Unveils Its First ARM Cortex -M4 Based Controller Family NXP s LPC4300 MCU with Coprocessor: NXP Unveils Its First ARM Cortex -M4 Based Controller Family By Frank Riemenschneider, Editor, Electronik Magazine At the Electronica trade show last fall in Munich,

More information

Latches. IT 3123 Hardware and Software Concepts. Registers. The Little Man has Registers. Data Registers. Program Counter

Latches. IT 3123 Hardware and Software Concepts. Registers. The Little Man has Registers. Data Registers. Program Counter IT 3123 Hardware and Software Concepts Notice: This session is being recorded. CPU and Memory June 11 Copyright 2005 by Bob Brown Latches Can store one bit of data Can be ganged together to store more

More information

Universität Dortmund. ARM Architecture

Universität Dortmund. ARM Architecture ARM Architecture The RISC Philosophy Original RISC design (e.g. MIPS) aims for high performance through o reduced number of instruction classes o large general-purpose register set o load-store architecture

More information

Microprocessors and Microcontrollers. Assignment 1:

Microprocessors and Microcontrollers. Assignment 1: Microprocessors and Microcontrollers Assignment 1: 1. List out the mass storage devices and their characteristics. 2. List the current workstations available in the market for graphics and business applications.

More information

AVR Microcontrollers Architecture

AVR Microcontrollers Architecture ก ก There are two fundamental architectures to access memory 1. Von Neumann Architecture 2. Harvard Architecture 2 1 Harvard Architecture The term originated from the Harvard Mark 1 relay-based computer,

More information

Welcome to this Renesas Interactive course which covers migration from the the V850 Jx3 series of 32bit MCUs to the Jx4 Series.

Welcome to this Renesas Interactive course which covers migration from the the V850 Jx3 series of 32bit MCUs to the Jx4 Series. Welcome to this Renesas Interactive course which covers migration from the the V850 Jx3 series of 32bit MCUs to the Jx4 Series. The J Series provides a combination of high-performance processing power

More information

General Purpose Signal Processors

General Purpose Signal Processors General Purpose Signal Processors First announced in 1978 (AMD) for peripheral computation such as in printers, matured in early 80 s (TMS320 series). General purpose vs. dedicated architectures: Pros:

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Chapter 5 - Input / Output

Chapter 5 - Input / Output Chapter 5 - Input / Output Luis Tarrataca luis.tarrataca@gmail.com CEFET-RJ L. Tarrataca Chapter 5 - Input / Output 1 / 90 1 Motivation 2 Principle of I/O Hardware I/O Devices Device Controllers Memory-Mapped

More information

Chapter 15 ARM Architecture, Programming and Development Tools

Chapter 15 ARM Architecture, Programming and Development Tools Chapter 15 ARM Architecture, Programming and Development Tools Lesson 07 ARM Cortex CPU and Microcontrollers 2 Microcontroller CORTEX M3 Core 32-bit RALU, single cycle MUL, 2-12 divide, ETM interface,

More information

ECE 1160/2160 Embedded Systems Design. Midterm Review. Wei Gao. ECE 1160/2160 Embedded Systems Design

ECE 1160/2160 Embedded Systems Design. Midterm Review. Wei Gao. ECE 1160/2160 Embedded Systems Design ECE 1160/2160 Embedded Systems Design Midterm Review Wei Gao ECE 1160/2160 Embedded Systems Design 1 Midterm Exam When: next Monday (10/16) 4:30-5:45pm Where: Benedum G26 15% of your final grade What about:

More information

ASSEMBLY LANGUAGE MACHINE ORGANIZATION

ASSEMBLY LANGUAGE MACHINE ORGANIZATION ASSEMBLY LANGUAGE MACHINE ORGANIZATION CHAPTER 3 1 Sub-topics The topic will cover: Microprocessor architecture CPU processing methods Pipelining Superscalar RISC Multiprocessing Instruction Cycle Instruction

More information

2 MARKS Q&A 1 KNREDDY UNIT-I

2 MARKS Q&A 1 KNREDDY UNIT-I 2 MARKS Q&A 1 KNREDDY UNIT-I 1. What is bus; list the different types of buses with its function. A group of lines that serves as a connecting path for several devices is called a bus; TYPES: ADDRESS BUS,

More information

Hello, and welcome to this presentation of the STM32L4 System Configuration Controller.

Hello, and welcome to this presentation of the STM32L4 System Configuration Controller. Hello, and welcome to this presentation of the STM32L4 System Configuration Controller. 1 Please note that this presentation has been written for STM32L47x/48x devices. The key differences with other devices

More information

Memory Expansion. Lecture Embedded Systems

Memory Expansion. Lecture Embedded Systems Memory Expansion Lecture 22 22-1 In These Notes... Memory Types Memory Expansion Interfacing Parallel Serial Direct Memory Access controllers 22-2 Memory Characteristics and Issues Volatility - Does it

More information

Computer-System Organization (cont.)

Computer-System Organization (cont.) Computer-System Organization (cont.) Interrupt time line for a single process doing output. Interrupts are an important part of a computer architecture. Each computer design has its own interrupt mechanism,

More information

Course Introduction. Purpose: Objectives: Content: 27 pages 4 questions. Learning Time: 20 minutes

Course Introduction. Purpose: Objectives: Content: 27 pages 4 questions. Learning Time: 20 minutes Course Introduction Purpose: This course provides an overview of the Direct Memory Access Controller and the Interrupt Controller on the SH-2 and SH-2A families of 32-bit RISC microcontrollers, which are

More information

FIFTH SEMESTER DIPLOMA EXAMINATION IN ENGINEERING/ TECHNOLOGY-MARCH 2014 EMBEDDED SYSTEMS (Common for CT,CM) [Time: 3 hours] (Maximum marks : 100)

FIFTH SEMESTER DIPLOMA EXAMINATION IN ENGINEERING/ TECHNOLOGY-MARCH 2014 EMBEDDED SYSTEMS (Common for CT,CM) [Time: 3 hours] (Maximum marks : 100) (Revision-10) FIFTH SEMESTER DIPLOMA EXAMINATION IN ENGINEERING/ TECHNOLOGY-MARCH 2014 EMBEDDED SYSTEMS (Common for CT,CM) [Time: 3 hours] (Maximum marks : 100) PART-A (Maximum marks : 10) I. Answer all

More information

Module 4c: Pipelining

Module 4c: Pipelining Module 4c: Pipelining R E F E R E N C E S : S T A L L I N G S, C O M P U T E R O R G A N I Z A T I O N A N D A R C H I T E C T U R E M O R R I S M A N O, C O M P U T E R O R G A N I Z A T I O N A N D A

More information

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2018 Trends of 32-bit microcontrollers

More information

systems such as Linux (real time application interface Linux included). The unified 32-

systems such as Linux (real time application interface Linux included). The unified 32- 1.0 INTRODUCTION The TC1130 is a highly integrated controller combining a Memory Management Unit (MMU) and a Floating Point Unit (FPU) on one chip. Thanks to the MMU, this member of the 32-bit TriCoreTM

More information

OUTLINE. STM32F0 Architecture Overview STM32F0 Core Motivation for RISC and Pipelining Cortex-M0 Programming Model Toolchain and Project Structure

OUTLINE. STM32F0 Architecture Overview STM32F0 Core Motivation for RISC and Pipelining Cortex-M0 Programming Model Toolchain and Project Structure ARCHITECTURE AND PROGRAMMING George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners OUTLINE STM32F0 Architecture Overview STM32F0 Core Motivation for RISC and

More information

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU

New STM32 F7 Series. World s 1 st to market, ARM Cortex -M7 based 32-bit MCU New STM32 F7 Series World s 1 st to market, ARM Cortex -M7 based 32-bit MCU 7 Keys of STM32 F7 series 2 1 2 3 4 5 6 7 First. ST is first to sample a fully functional Cortex-M7 based 32-bit MCU : STM32

More information

ARM Architecture and Assembly Programming Intro

ARM Architecture and Assembly Programming Intro ARM Architecture and Assembly Programming Intro Instructors: Dr. Phillip Jones http://class.ece.iastate.edu/cpre288 1 Announcements HW9: Due Sunday 11/5 (midnight) Lab 9: object detection lab Give TAs

More information

HPC VT Machine-dependent Optimization

HPC VT Machine-dependent Optimization HPC VT 2013 Machine-dependent Optimization Last time Choose good data structures Reduce number of operations Use cheap operations strength reduction Avoid too many small function calls inlining Use compiler

More information

Choosing a Micro for an Embedded System Application

Choosing a Micro for an Embedded System Application Choosing a Micro for an Embedded System Application Dr. Manuel Jiménez DSP Slides: Luis Francisco UPRM - Spring 2010 Outline MCU Vs. CPU Vs. DSP Selection Factors Embedded Peripherals Sample Architectures

More information

Buses. Disks PCI RDRAM RDRAM LAN. Some slides adapted from lecture by David Culler. Pentium 4 Processor. Memory Controller Hub.

Buses. Disks PCI RDRAM RDRAM LAN. Some slides adapted from lecture by David Culler. Pentium 4 Processor. Memory Controller Hub. es > 100 MB/sec Pentium 4 Processor L1 and L2 caches Some slides adapted from lecture by David Culler 3.2 GB/sec Display Memory Controller Hub RDRAM RDRAM Dual Ultra ATA/100 24 Mbit/sec Disks LAN I/O Controller

More information

The von Neumann Architecture. IT 3123 Hardware and Software Concepts. The Instruction Cycle. Registers. LMC Executes a Store.

The von Neumann Architecture. IT 3123 Hardware and Software Concepts. The Instruction Cycle. Registers. LMC Executes a Store. IT 3123 Hardware and Software Concepts February 11 and Memory II Copyright 2005 by Bob Brown The von Neumann Architecture 00 01 02 03 PC IR Control Unit Command Memory ALU 96 97 98 99 Notice: This session

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi Lecture - 13 Virtual memory and memory management unit In the last class, we had discussed

More information

Main Points of the Computer Organization and System Software Module

Main Points of the Computer Organization and System Software Module Main Points of the Computer Organization and System Software Module You can find below the topics we have covered during the COSS module. Reading the relevant parts of the textbooks is essential for a

More information

STM32 Cortex-M3 STM32F STM32L STM32W

STM32 Cortex-M3 STM32F STM32L STM32W STM32 Cortex-M3 STM32F STM32L STM32W 01 01 STM32 Cortex-M3 introduction to family 1/2 STM32F combine high performance with first-class peripherals and lowpower, low-voltage operation. They offer the maximum

More information

Q.1 Explain Computer s Basic Elements

Q.1 Explain Computer s Basic Elements Q.1 Explain Computer s Basic Elements Ans. At a top level, a computer consists of processor, memory, and I/O components, with one or more modules of each type. These components are interconnected in some

More information

VIII. DSP Processors. Digital Signal Processing 8 December 24, 2009

VIII. DSP Processors. Digital Signal Processing 8 December 24, 2009 Digital Signal Processing 8 December 24, 2009 VIII. DSP Processors 2007 Syllabus: Introduction to programmable DSPs: Multiplier and Multiplier-Accumulator (MAC), Modified bus structures and memory access

More information

AN4777 Application note

AN4777 Application note Application note Implications of memory interface configurations on low-power STM32 microcontrollers Introduction The low-power STM32 microcontrollers have a rich variety of configuration options regarding

More information

INTEL Architectures GOPALAKRISHNAN IYER FALL 2009 ELEC : Computer Architecture and Design

INTEL Architectures GOPALAKRISHNAN IYER FALL 2009 ELEC : Computer Architecture and Design INTEL Architectures GOPALAKRISHNAN IYER FALL 2009 GBI0001@AUBURN.EDU ELEC 6200-001: Computer Architecture and Design Silicon Technology Moore s law Moore's Law describes a long-term trend in the history

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

ARM Cortex-M4 Architecture and Instruction Set 1: Architecture Overview

ARM Cortex-M4 Architecture and Instruction Set 1: Architecture Overview ARM Cortex-M4 Architecture and Instruction Set 1: Architecture Overview M J Brockway January 25, 2016 UM10562 All information provided in this document is subject to legal disclaimers. NXP B.V. 2014. All

More information

Microcontrollers. Microcontroller

Microcontrollers. Microcontroller Microcontrollers Microcontroller A microprocessor on a single integrated circuit intended to operate as an embedded system. As well as a CPU, a microcontroller typically includes small amounts of RAM and

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Question Bank Microprocessor and Microcontroller

Question Bank Microprocessor and Microcontroller QUESTION BANK - 2 PART A 1. What is cycle stealing? (K1-CO3) During any given bus cycle, one of the system components connected to the system bus is given control of the bus. This component is said to

More information

CISC RISC. Compiler. Compiler. Processor. Processor

CISC RISC. Compiler. Compiler. Processor. Processor Q1. Explain briefly the RISC design philosophy. Answer: RISC is a design philosophy aimed at delivering simple but powerful instructions that execute within a single cycle at a high clock speed. The RISC

More information

DC57 COMPUTER ORGANIZATION JUNE 2013

DC57 COMPUTER ORGANIZATION JUNE 2013 Q2 (a) How do various factors like Hardware design, Instruction set, Compiler related to the performance of a computer? The most important measure of a computer is how quickly it can execute programs.

More information

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices,

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, CISC and RISC processors etc. Knows the architecture and

More information

Introduction to ARM LPC2148 Microcontroller

Introduction to ARM LPC2148 Microcontroller Introduction to ARM LPC2148 Microcontroller Dr.R.Sundaramurthy Department of EIE Pondicherry Engineering College Features of LPC2148 in a Nut Shell CPU = ARM 7 Core Word Length = 32 Bit ROM = 512 KB RAM

More information

Module 2: Introduction to AVR ATmega 32 Architecture

Module 2: Introduction to AVR ATmega 32 Architecture Module 2: Introduction to AVR ATmega 32 Architecture Definition of computer architecture processor operation CISC vs RISC von Neumann vs Harvard architecture AVR introduction AVR architecture Architecture

More information

CS 101, Mock Computer Architecture

CS 101, Mock Computer Architecture CS 101, Mock Computer Architecture Computer organization and architecture refers to the actual hardware used to construct the computer, and the way that the hardware operates both physically and logically

More information

MICROPROCESSOR BASED SYSTEM DESIGN

MICROPROCESSOR BASED SYSTEM DESIGN MICROPROCESSOR BASED SYSTEM DESIGN Lecture 5 Xmega 128 B1: Architecture MUHAMMAD AMIR YOUSAF VON NEUMAN ARCHITECTURE CPU Memory Execution unit ALU Registers Both data and instructions at the same system

More information

CHAPTER 4 MARIE: An Introduction to a Simple Computer

CHAPTER 4 MARIE: An Introduction to a Simple Computer CHAPTER 4 MARIE: An Introduction to a Simple Computer 4.1 Introduction 177 4.2 CPU Basics and Organization 177 4.2.1 The Registers 178 4.2.2 The ALU 179 4.2.3 The Control Unit 179 4.3 The Bus 179 4.4 Clocks

More information

Real instruction set architectures. Part 2: a representative sample

Real instruction set architectures. Part 2: a representative sample Real instruction set architectures Part 2: a representative sample Some historical architectures VAX: Digital s line of midsize computers, dominant in academia in the 70s and 80s Characteristics: Variable-length

More information

Final Lecture. A few minutes to wrap up and add some perspective

Final Lecture. A few minutes to wrap up and add some perspective Final Lecture A few minutes to wrap up and add some perspective 1 2 Instant replay The quarter was split into roughly three parts and a coda. The 1st part covered instruction set architectures the connection

More information

Department of Electronics and Instrumentation Engineering Question Bank

Department of Electronics and Instrumentation Engineering Question Bank www.examquestionpaper.in Department of Electronics and Instrumentation Engineering Question Bank SUBJECT CODE / NAME: ET7102 / MICROCONTROLLER BASED SYSTEM DESIGN BRANCH : M.E. (C&I) YEAR / SEM : I / I

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information

Advanced processor designs

Advanced processor designs Advanced processor designs We ve only scratched the surface of CPU design. Today we ll briefly introduce some of the big ideas and big words behind modern processors by looking at two example CPUs. The

More information

CS Computer Architecture

CS Computer Architecture CS 35101 Computer Architecture Section 600 Dr. Angela Guercio Fall 2010 An Example Implementation In principle, we could describe the control store in binary, 36 bits per word. We will use a simple symbolic

More information

Proven 8051 Microcontroller Technology, Brilliantly Updated

Proven 8051 Microcontroller Technology, Brilliantly Updated Proven 8051 Microcontroller Technology, Brilliantly Updated By: Tom David, Principal Design Engineer, Silicon Labs Introduction The proven 8051 core received a welcome second wind when its architecture

More information

Chapter 4. Enhancing ARM7 architecture by embedding RTOS

Chapter 4. Enhancing ARM7 architecture by embedding RTOS Chapter 4 Enhancing ARM7 architecture by embedding RTOS 4.1 ARM7 architecture 4.2 ARM7TDMI processor core 4.3 Embedding RTOS on ARM7TDMI architecture 4.4 Block diagram of the Design 4.5 Hardware Design

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

Measuring Interrupt Latency

Measuring Interrupt Latency NXP Semiconductors Document Number: AN12078 Application Note Rev. 0, 10/2017 Measuring Interrupt Latency 1. Introduction The term interrupt latency refers to the delay between the start of an Interrupt

More information

Understand the factors involved in instruction set

Understand the factors involved in instruction set A Closer Look at Instruction Set Architectures Objectives Understand the factors involved in instruction set architecture design. Look at different instruction formats, operand types, and memory access

More information

STM32 Journal. In this Issue:

STM32 Journal. In this Issue: Volume 1, Issue 2 In this Issue: Bringing 32-bit Performance to 8- and 16-bit Applications Developing High-Quality Audio for Consumer Electronics Applications Bringing Floating-Point Performance and Precision

More information

LECTURE 4: LARGE AND FAST: EXPLOITING MEMORY HIERARCHY

LECTURE 4: LARGE AND FAST: EXPLOITING MEMORY HIERARCHY LECTURE 4: LARGE AND FAST: EXPLOITING MEMORY HIERARCHY Abridged version of Patterson & Hennessy (2013):Ch.5 Principle of Locality Programs access a small proportion of their address space at any time Temporal

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

Prefetch Cache Module

Prefetch Cache Module PIC32 TM Prefetch Cache Module 2008 Microchip Technology Incorporated. All Rights Reserved. PIC32 Prefetch Cache Module Slide 1 Hello and welcome to the PIC32 Prefetch Cache Module webinar. I am Nilesh

More information

Interfacing. Introduction. Introduction Addressing Interrupt DMA Arbitration Advanced communication architectures. Vahid, Givargis

Interfacing. Introduction. Introduction Addressing Interrupt DMA Arbitration Advanced communication architectures. Vahid, Givargis Interfacing Introduction Addressing Interrupt DMA Arbitration Advanced communication architectures Vahid, Givargis Introduction Embedded system functionality aspects Processing Transformation of data Implemented

More information

Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be

Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be Hello, and welcome to this presentation of the STM32L4 power controller. The STM32L4 s power management functions and all power modes will also be covered in this presentation. 1 Please note that this

More information

CN310 Microprocessor Systems Design

CN310 Microprocessor Systems Design CN310 Microprocessor Systems Design Micro Architecture Nawin Somyat Department of Electrical and Computer Engineering Thammasat University 28 August 2018 Outline Course Contents 1 Introduction 2 Simple

More information

CS311 Lecture: Pipelining, Superscalar, and VLIW Architectures revised 10/18/07

CS311 Lecture: Pipelining, Superscalar, and VLIW Architectures revised 10/18/07 CS311 Lecture: Pipelining, Superscalar, and VLIW Architectures revised 10/18/07 Objectives ---------- 1. To introduce the basic concept of CPU speedup 2. To explain how data and branch hazards arise as

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://www.eece.maine.edu/~vweaver vincent.weaver@maine.edu 3 September 2015 Announcements HW#1 will be posted today, due next Thursday. I will send out

More information

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan Processors Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan chanhl@maili.cgu.edu.twcgu General-purpose p processor Control unit Controllerr Control/ status Datapath ALU

More information

Memory. From Chapter 3 of High Performance Computing. c R. Leduc

Memory. From Chapter 3 of High Performance Computing. c R. Leduc Memory From Chapter 3 of High Performance Computing c 2002-2004 R. Leduc Memory Even if CPU is infinitely fast, still need to read/write data to memory. Speed of memory increasing much slower than processor

More information

GUJARAT TECHNOLOGICAL UNIVERSITY MASTER OF COMPUTER APPLICATION SEMESTER: III

GUJARAT TECHNOLOGICAL UNIVERSITY MASTER OF COMPUTER APPLICATION SEMESTER: III GUJARAT TECHNOLOGICAL UNIVERSITY MASTER OF COMPUTER APPLICATION SEMESTER: III Subject Name: Operating System (OS) Subject Code: 630004 Unit-1: Computer System Overview, Operating System Overview, Processes

More information

Embedded Computing Platform. Architecture and Instruction Set

Embedded Computing Platform. Architecture and Instruction Set Embedded Computing Platform Microprocessor: Architecture and Instruction Set Ingo Sander ingo@kth.se Microprocessor A central part of the embedded platform A platform is the basic hardware and software

More information

ATmega128. Introduction

ATmega128. Introduction ATmega128 Introduction AVR Microcontroller 8-bit microcontroller released in 1997 by Atmel which was founded in 1984. The AVR architecture was conceived by two students (Alf-Egil Bogen, Vergard-Wollen)

More information

UNIT II SYSTEM BUS STRUCTURE 1. Differentiate between minimum and maximum mode 2. Give any four pin definitions for the minimum mode. 3. What are the pins that are used to indicate the type of transfer

More information

Embedded Systems Design (630414) Lecture 1 Introduction to Embedded Systems Prof. Kasim M. Al-Aubidy Computer Eng. Dept.

Embedded Systems Design (630414) Lecture 1 Introduction to Embedded Systems Prof. Kasim M. Al-Aubidy Computer Eng. Dept. Embedded Systems Design (630414) Lecture 1 Introduction to Embedded Systems Prof. Kasim M. Al-Aubidy Computer Eng. Dept. Definition of an E.S. It is a system whose principal function is not computational,

More information

Chapter 1 Computer System Overview

Chapter 1 Computer System Overview Operating Systems: Internals and Design Principles Chapter 1 Computer System Overview Seventh Edition By William Stallings Objectives of Chapter To provide a grand tour of the major computer system components:

More information

Hello, and welcome to this presentation of the STM32 Flash memory interface. It covers all the new features of the STM32F7 Flash memory.

Hello, and welcome to this presentation of the STM32 Flash memory interface. It covers all the new features of the STM32F7 Flash memory. Hello, and welcome to this presentation of the STM32 Flash memory interface. It covers all the new features of the STM32F7 Flash memory. 1 STM32F7 microcontrollers embed up to 2 Mbytes of Flash memory.

More information

Von Neumann architecture. The first computers used a single fixed program (like a numeric calculator).

Von Neumann architecture. The first computers used a single fixed program (like a numeric calculator). Microprocessors Von Neumann architecture The first computers used a single fixed program (like a numeric calculator). To change the program, one has to re-wire, re-structure, or re-design the computer.

More information

An introduction to Digital Signal Processors (DSP) Using the C55xx family

An introduction to Digital Signal Processors (DSP) Using the C55xx family An introduction to Digital Signal Processors (DSP) Using the C55xx family Group status (~2 minutes each) 5 groups stand up What processor(s) you are using Wireless? If so, what technologies/chips are you

More information

RISC & Superscalar. COMP 212 Computer Organization & Architecture. COMP 212 Fall Lecture 12. Instruction Pipeline no hazard.

RISC & Superscalar. COMP 212 Computer Organization & Architecture. COMP 212 Fall Lecture 12. Instruction Pipeline no hazard. COMP 212 Computer Organization & Architecture Pipeline Re-Cap Pipeline is ILP -Instruction Level Parallelism COMP 212 Fall 2008 Lecture 12 RISC & Superscalar Divide instruction cycles into stages, overlapped

More information

Instruction Set Architecture. "Speaking with the computer"

Instruction Set Architecture. Speaking with the computer Instruction Set Architecture "Speaking with the computer" The Instruction Set Architecture Application Compiler Instr. Set Proc. Operating System I/O system Instruction Set Architecture Digital Design

More information

DSP Platforms Lab (AD-SHARC) Session 05

DSP Platforms Lab (AD-SHARC) Session 05 University of Miami - Frost School of Music DSP Platforms Lab (AD-SHARC) Session 05 Description This session will be dedicated to give an introduction to the hardware architecture and assembly programming

More information

CS6303 Computer Architecture Regulation 2013 BE-Computer Science and Engineering III semester 2 MARKS

CS6303 Computer Architecture Regulation 2013 BE-Computer Science and Engineering III semester 2 MARKS CS6303 Computer Architecture Regulation 2013 BE-Computer Science and Engineering III semester 2 MARKS UNIT-I OVERVIEW & INSTRUCTIONS 1. What are the eight great ideas in computer architecture? The eight

More information

William Stallings Computer Organization and Architecture

William Stallings Computer Organization and Architecture William Stallings Computer Organization and Architecture Chapter 11 CPU Structure and Function Rev. 3.2.1 (2005-06) by Enrico Nardelli 11-1 CPU Functions CPU must: Fetch instructions Decode instructions

More information

Renesas Synergy MCUs Build a Foundation for Groundbreaking Integrated Embedded Platform Development

Renesas Synergy MCUs Build a Foundation for Groundbreaking Integrated Embedded Platform Development Renesas Synergy MCUs Build a Foundation for Groundbreaking Integrated Embedded Platform Development New Family of Microcontrollers Combine Scalability and Power Efficiency with Extensive Peripheral Capabilities

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

Chapter 1 Microprocessor architecture ECE 3120 Dr. Mohamed Mahmoud http://iweb.tntech.edu/mmahmoud/ mmahmoud@tntech.edu Outline 1.1 Computer hardware organization 1.1.1 Number System 1.1.2 Computer hardware

More information

Memory management units

Memory management units Memory management units Memory management unit (MMU) translates addresses: CPU logical address memory management unit physical address main memory Computers as Components 1 Access time comparison Media

More information

Chapter 13 Reduced Instruction Set Computers

Chapter 13 Reduced Instruction Set Computers Chapter 13 Reduced Instruction Set Computers Contents Instruction execution characteristics Use of a large register file Compiler-based register optimization Reduced instruction set architecture RISC pipelining

More information

Tutorial Introduction

Tutorial Introduction Tutorial Introduction PURPOSE: This tutorial describes the key features of the DSP56300 family of processors. OBJECTIVES: Describe the main features of the DSP 24-bit core. Identify the features and functions

More information

Pipelining, Branch Prediction, Trends

Pipelining, Branch Prediction, Trends Pipelining, Branch Prediction, Trends 10.1-10.4 Topics 10.1 Quantitative Analyses of Program Execution 10.2 From CISC to RISC 10.3 Pipelining the Datapath Branch Prediction, Delay Slots 10.4 Overlapping

More information

Typical DSP application

Typical DSP application DSP markets DSP markets Typical DSP application TI DSP History: Modem applications 1982 TMS32010, TI introduces its first programmable general-purpose DSP to market Operating at 5 MIPS. It was ideal for

More information