Electromagnetic Transient Fault Injection on AES

Size: px
Start display at page:

Download "Electromagnetic Transient Fault Injection on AES"

Transcription

1 Electromagnetic Transient Fault Injection on AES Amine DEHBAOUI ¹, Jean-Max DUTERTRE ², Bruno ROBISSON ¹, Assia TRIA ¹ Fault Diagnosis and Tolerance in Cryptography Leuven, Belgium Sunday, September 9, 2012 (1) (2)

2 Outline Context Electromagnetic pulse injection Bench Transient electromagnetic fault on a software implementation of the AES Transient electromagnetic fault on a hardware implementation of the AES Transient electromagnetic fault on a hardware implementation of the AES with countermeasure Conclusion 17 septembre 2012 PAGE 2

3 Context : Synchronous Digital IC Timing Constraints n m data Logic D pmax D Q D Q Dff i Dff i+1 clk D clk->q T clk + T skew - T setup data arrival time = D clk->q + D pmax data required time = T clk + T skew - T setup T clk > D clk->q + D pmax - T skew + T setup F(Vdd) Violating this timing constraint results in fault injection. Usually IC are designed to tolerate : Vdrops < 0.1 x Vdd PAGE 3

4 CONTEXT : Fault Injection Plaintext Correct Ciphertext Faulty Ciphertext Modifying the behavior of the chip and recovering sensitive data Various experimental setups are used Underpowering / overclocking a device A rise in temperature may also induce faults The use of optical radiations : flash bulb, laser beam 17 septembre 2012 PAGE 4 The use of EM radiations : harmonic, pulse

5 CONTEXT : Fault Injection and the EM Channel EM Channel : main strengths Does not require depackaging the target. Does target the upper metal Layer (Power/Ground or Clock networks). May bypass some countermeasures (light sensors, global power filtering ). Low cost and no specific countermeasures. Our objectives : Report actual fault injections on two typical targets (HW/SW). Explain the behavior of the faults induced by a very short EM pulse (EMP). Analyze whether the effect of the EMP on the target is global or local. Find out the mechanism involved in the injection of a fault by an EMP. 17 septembre 2012 PAGE 5

6 Electromagnetic pulse injection Bench CEA 10 AVRIL 2012 PAGE 6 17 septembre 2012

7 Electromagnetic pulse injection Bench Platform built of : I/O Pulse generator Rohde & Schwartz magnetic antenna (500µm diameter) X-Y-Z motorized stage Control PC (GPIB + RS232 ) GPIB Pulse generator characteristics Amplitude : V Pulse width : 9 ns 1 ms Rising / Falling times : 5 ns Low jitter : < 45 ps Target Motorized stage Trigger signal Pulse gen. MCU FPGA 17 septembre 2012 PAGE 7

8 Transient electromagnetic faults on a software implementation of the AES CEA 10 AVRIL 2012 PAGE 8 17 septembre 2012

9 Transient electromagnetic faults on a software implementation of the AES Smartcard emulation board 8-bits AVR Atmega 128 MCU (techno 0,35µm) Harvard architecture 128 KB Flash program memory 4 KB SRAM Operating voltage : V Operating frequency : 3.57 MHz => Tclk = 280 ns Software AES implementation Power supply trace during EMP injection Is this voltage drop induces faults??? EMP parameters Z position EMP EMP Clk Rise/fall amplitude width period times < 500 µm 100V 50ns 280ns 5ns Voltage drop of about 200 mv 17 septembre 2012 PAGE 9

10 Transient electromagnetic faults on a software implementation of the AES AES state S0,0 S0,1 S0,2 S0,3 Powered chip : 5V Execution of the AES-128 Trigger signal at the beginning of the 10th round We swept the instant of the EMP by steps of 100ns At each step => 1000 encryptions with and without EMP The faulty byte is determined S1,0 S1,1 S1,2 S1,3 S2,0 S2,1 S2,2 S2,3 S3,0 S3,1 S3,2 S3,3 AES encryption : Round 10 (90µs) S0,2 S1,0 S2,0 S3,0 S0,1 S3,1 S2,2 S1,3 S0,0 S0,3 S1,1 S2,3 S2,1 S1,2 S3,2 S3,3 PAGE 10 0,28 5,53 6,53 9,78 12,4 19,3 25,5 33,7 55,7 63,4 65,9 69,5 74, ,5 87,9 µs

11 Transient electromagnetic faults on a software implementation of the AES Occurrence rate of the induced faults versus EMP amplitude Deterministic and reproducible effect EMP injection prevents the CPU from executing some instructions by violating the timing constraints AddRoundKey opcodes 1 LDD R24, Y+ i load subkey 2 LD R25, X load state 3 EOR R24, R25 Exclusive OR 4 STD Z+i, R24 store result Slack > 0 SubBytes ans ShiftRows opcodes 1 LDD R26, Y+ i load state address 2 LDI R27, 0x00 3 SUBI R26, 0x00 4 SBCI R27, 0xF5 5 LD R24, X load state i 6 STD Y+k, R24 store state i 7 LDI R31, 0x00 8 SUBI R30, 0x00 9 SBCI R31, 0xF5 10 LD R24, Z load state i+1 11 STD Y+i, R24 store state i+1 Slack = 0 (+/-) ξ PAGE 11 Slack < 0

12 Transient electromagnetic faults on a hardware implementation of the AES CEA 10 AVRIL 2012 PAGE septembre 2012

13 Transient electromagnetic faults on a hardware implementation of the AES Round Exe FPGA Spartan 3 Techno 130nm Operating voltage : 1.2 volts Operating frequency : 100 MHz Hardware AES implementation FSM Key Exp EMP parameters Z position < 500 µm EMP EMP Clk Rise/fall amplitude width period times 100V 10ns 10ns 5ns PAGE 13

14 Transient electromagnetic faults on a hardware implementation of the AES At each position, an EMP is injected 100V-10ns The corresponding faulted ciphertext is retrieved 1,000 encryptions of the same plaintext 30x30 different locations Antenna diameter : 500 µm Displacement step : 500 µm Faults cartography 0 7 mm Round Exe 10 7 mm 5 15 FSM Key Exp Faulted bytes Localized effect of the EMP Good correlation between the Floorplan and the cartography 17 septembre 2012 Deterministic and reproducible effect PAGE 14

15 Transient electromagnetic faults on a hardware implementation of the AES byte 15 byte 14 byte 13 Y 0 Faults cartography byte 12 byte 11 byte 10 byte 9 byte 8 byte 7 byte 6 byte 5 Position 1 (X1, Y1, Z) byte 4 25 byte 3 byte 2 byte 1 byte 0 byte 15 byte Ability to inject single-bit and multi-bits faults into AES calculations Induced faults are timing faults occurrence May fault any paths (even subcritical paths) 0% 10% 20% 30% 40% 50% 60% Position 2 byte 15 (X2, Y2, Z) byte 14 Position 3 (X3, Y3, Z) X byte 13 byte 12 byte 11 byte 10 byte 9 byte 8 byte 7 byte 6 single-bit faults multi-bit faults byte 13 byte 12 byte 11 byte 10 byte 9 byte 8 byte 7 byte 6 byte 5 byte 4 byte 3 byte 2 byte 1 byte 0 0% 10% 20% 30% 40% 50% 60% occurrence byte 5 byte 4 byte 3 byte 2 byte 1 byte 0 PAGE 15 0% 10% 20% 30% 40% 50% 60%

16 Transient electromagnetic faults on a hardware implementation of the AES with countermeasure CEA 10 AVRIL 2012 PAGE septembre 2012

17 Transient electromagnetic faults on a hardware implementation of the AES with countermeasure FPGA Spartan 3 Techno 130nm Operating voltage : 1.2 volts Operating frequency : 100 MHz Hardware AES implementation Countermeasure (detection of timing violations) CLK 1 Programmable monitoring delay CLK 1 delayed comp alarm PAGE 17

18 Transient electromagnetic faults on a hardware implementation of the AES with countermeasure At each position, an EMP is injected The corresponding faulted ciphertext (if any) is retrieved The value of the alarm flag is stored 1,000 encryptions of the same plaintext 30x30 different locations of the injection probe (step 500 µm) alarm no alarm Alarms cartography Faults cartography Localized effect of the EMP The EMP is detected only in some positions Possibility to induce faults without triggering the alarm PAGE 18

19 Conclusion CEA 10 AVRIL 2012 PAGE septembre 2012

20 Conclusion Ability to inject single-bit and multi-bits faults into AES calculations Induced faults are timing faults due to voltage drops Localized effect : the coupling depends of the IC Layout May bypass power supply low-pass filtering May fault any paths (even subcritical paths) 17 septembre 2012 PAGE 20

21 Any questions? PAGE 21 CEA 10 AVRIL septembre amine.dehbaoui@cea.fr Direction de la Recherche Technologique DSIS / LCS Systèmes et Architectures Sécurisés Commissariat à l énergie atomique et aux énergies alternatives Centre de Microélectronique de Provence Gardanne T. +33 (0) F. +33 (0) Etablissement public à caractère industriel et commercial RCS Paris B

ELECTROMAGNETIC GLITCH ON THE AES ROUND COUNTER

ELECTROMAGNETIC GLITCH ON THE AES ROUND COUNTER ELECTROMAGNETIC GLITCH ON THE AES ROUND COUNTER Amine DEHBAOUI ¹, Amir-Pasha Mirbaha ², Nicolas MORO¹, Jean-Max DUTERTRE ², Assia TRIA ¹ COSADE 2013 Paris, France (1) (2) OUTLINE! Context! Round Modification

More information

ELECTROMAGNETIC FAULT INJECTION ON MICROCONTROLLERS

ELECTROMAGNETIC FAULT INJECTION ON MICROCONTROLLERS ELECTROMAGNETIC FAULT INJECTION ON MICROCONTROLLERS Nicolas Moro 1,3, Amine Dehbaoui 2, Karine Heydemann 3, Bruno Robisson 1, Emmanuelle Encrenaz 3 1 CEA Commissariat à l Energie Atomique et aux Energies

More information

SIDE CHANNEL ANALYSIS : LOW COST PLATFORM. ETSI SECURITY WEEK Driss ABOULKASSIM Jacques FOURNIERI

SIDE CHANNEL ANALYSIS : LOW COST PLATFORM. ETSI SECURITY WEEK Driss ABOULKASSIM Jacques FOURNIERI SIDE CHANNEL ANALYSIS : LOW COST PLATFORM ETSI SECURITY WEEK Driss ABOULKASSIM Jacques FOURNIERI THE CEA Military Applications Division (DAM) Nuclear Energy Division (DEN) Technological Research Division

More information

Faults are often modeled according two fault models: Bit-set (resp. Bit-reset) Bit-flip

Faults are often modeled according two fault models: Bit-set (resp. Bit-reset) Bit-flip FDTC 2013 Fault Model Analysis of Laser-Induced Faults in SRAM Memory Cells Cyril Roscian, Alexandre Sarafianos, Jean-Max Dutertre, Assia Tria Secured Architecture and System Laboratory Centre Microélectronique

More information

FAULT ATTACKS ON TWO SOFTWARE COUNTERMEASURES

FAULT ATTACKS ON TWO SOFTWARE COUNTERMEASURES FAULT ATTACKS ON TWO SOFTWARE COUNTERMEASURES Nicolas Moro 1,3, Karine Heydemann 3, Amine Dehbaoui 2, Bruno Robisson 1, Emmanuelle Encrenaz 3 1 CEA Commissariat à l Energie Atomique et aux Energies Alternatives

More information

Fault Injection Attacks and Countermeasures

Fault Injection Attacks and Countermeasures Fault Injection Attacks and Countermeasures Brněnské bezpečnostní setkávání, FEKT VUT Brno Jakub Breier 28 March 2018 Physical Analysis and Cryptographic Engineering Nanyang Technological University Singapore

More information

Countermeasures against EM Analysis

Countermeasures against EM Analysis Countermeasures against EM Analysis Paolo Maistri 1, SebastienTiran 2, Amine Dehbaoui 3, Philippe Maurine 2, Jean-Max Dutertre 4 (1) (2) (3) (4) Context Side channel analysis is a major threat against

More information

When Clocks Fail On Critical Paths And Clock Faults

When Clocks Fail On Critical Paths And Clock Faults When Clocks Fail On Critical Paths And Clock Faults Michel Agoyan 1, Jean-Max Dutertre 2, David Naccache 1,3, Bruno Robisson 1, and Assia Tria 1 1 cea-leti {michel.agoyan, bruno.robisson, assia.tria}@cea.fr

More information

«Safe (hardware) design methodologies against fault attacks»

«Safe (hardware) design methodologies against fault attacks» «Safe (hardware) design methodologies against fault attacks» Bruno ROBISSON Assia TRIA SESAM Laboratory (joint R&D team CEA-LETI/EMSE), Centre Microélectronique de Provence Avenue des Anémones, 13541 Gardanne,

More information

Fault Attacks on Embedded Software: Threats, Design, and Mitigation

Fault Attacks on Embedded Software: Threats, Design, and Mitigation Fault Attacks on Embedded Software: Threats, Design, and Mitigation Patrick Schaumont Professor Bradley Department of ECE Virginia Tech Acknowledgements FAME Project Team https://sites.google.com/view/famechip

More information

Electromagnetic glitch on the AES round counter

Electromagnetic glitch on the AES round counter Electromagnetic glitch on the AES round counter Amine Dehbaoui, Amir-Pasha Mirbaha, Nicolas Moro, Jean-Max Dutertre, Assia Tria To cite this version: Amine Dehbaoui, Amir-Pasha Mirbaha, Nicolas Moro, Jean-Max

More information

Fault-based Cryptanalysis on Block Ciphers

Fault-based Cryptanalysis on Block Ciphers LIRMM / university of Montpellier COSADE 2017, Thursday April 13 2017, Paris, France 1/ 62 Outline 1 2 Fault Model Safe Error Attack DFA Statistical Fault Attack 3 Analog Level Digital Level Application

More information

Clock Glitch Fault Injection Attacks on an FPGA AES Implementation

Clock Glitch Fault Injection Attacks on an FPGA AES Implementation Journal of Electrotechnology, Electrical Engineering and Management (2017) Vol. 1, Number 1 Clausius Scientific Press, Canada Clock Glitch Fault Injection Attacks on an FPGA AES Implementation Yifei Qiao1,a,

More information

AVR Microcontrollers Architecture

AVR Microcontrollers Architecture ก ก There are two fundamental architectures to access memory 1. Von Neumann Architecture 2. Harvard Architecture 2 1 Harvard Architecture The term originated from the Harvard Mark 1 relay-based computer,

More information

WhoamI. Attacking WBC Implementations No con Name 2017

WhoamI. Attacking WBC Implementations No con Name 2017 Attacking WBC Implementations No con Name 2017 1 WHO I AM EDUCATION: Computer Science MSc in IT security COMPANY & ROLES: HCE Security Evaluator R&D Engineer WBC project Responsible of Android security

More information

Sho Endo1, Naofumi Homma1, Yu-ichi Hayashi1, Junko Takahashi2, Hitoshi Fuji2 and Takafumi Aoki1

Sho Endo1, Naofumi Homma1, Yu-ichi Hayashi1, Junko Takahashi2, Hitoshi Fuji2 and Takafumi Aoki1 April 15, 2014 COSADE2014 A Multiple-fault Injection Attack by Adaptiv e Timing Control under Black-box Conditi ons and a Countermeasure Sho Endo1, Naofumi Homma1, Yu-ichi Hayashi1, Junko Takahashi2, Hitoshi

More information

FPGAhammer: Remote Voltage Fault Attacks on Shared FPGAs, suitable for DFA on AES

FPGAhammer: Remote Voltage Fault Attacks on Shared FPGAs, suitable for DFA on AES , suitable for DFA on AES Jonas Krautter, Dennis R.E. Gnad, Mehdi B. Tahoori 10.09.2018 INSTITUTE OF COMPUTER ENGINEERING CHAIR OF DEPENDABLE NANO COMPUTING KIT Die Forschungsuniversität in der Helmholtz-Gemeinschaft

More information

Flash Memory Bumping Attacks

Flash Memory Bumping Attacks Flash Memory Bumping Attacks Sergei Skorobogatov http://www.cl.cam.ac.uk/~sps32 email: sps32@cam.ac.uk Introduction Data protection with integrity check verifying memory integrity without compromising

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

Fault injection attacks on cryptographic devices and countermeasures Part 1

Fault injection attacks on cryptographic devices and countermeasures Part 1 Fault injection attacks on cryptographic devices and countermeasures Part 1 Israel Koren Department of Electrical and Computer Engineering University of Massachusetts Amherst, MA Outline Introduction -

More information

The Design and Evaluation Methodology of Dependable VLSI for Tamper Resistance

The Design and Evaluation Methodology of Dependable VLSI for Tamper Resistance 2013.12.7 DLSI International Symposium The Design and Evaluation Methodology of Dependable VLSI for Focusing on the security of hardware modules - Tamper resistant cryptographic circuit - Evaluation tools

More information

THE MULTIPLE WAYS TO AUTOMATE THE APPLICATION OF SOFTWARE COUNTERMEASURES AGAINST PHYSICAL ATTACKS: PITFALLS AND GUIDELINES

THE MULTIPLE WAYS TO AUTOMATE THE APPLICATION OF SOFTWARE COUNTERMEASURES AGAINST PHYSICAL ATTACKS: PITFALLS AND GUIDELINES Belleville Nicolas 1 Barry Thierno 1 Seriai Abderrahmane 1 Couroussé Damien 1 Heydemann Karine 2 Robisson Bruno 3 Charles Henri-Pierre 1 1 Univ Grenoble Alpes, CEA, List, F- 38000 Grenoble, France firstname.lastname@cea.fr

More information

How microprobing can attack encrypted memory

How microprobing can attack encrypted memory How microprobing can attack encrypted memory Sergei Skorobogatov http://www.cl.cam.ac.uk/~sps32 email: sps32@cam.ac.uk Introduction Hardware Security research since 1995 testing microcontrollers and smartcards

More information

Dissecting Leakage Resilient PRFs with Multivariate Localized EM Attacks

Dissecting Leakage Resilient PRFs with Multivariate Localized EM Attacks Dissecting Leakage Resilient PRFs with Multivariate Localized EM Attacks A Practical Security Evaluation on FPGA Florian Unterstein Johann Heyszl Fabrizio De Santis a Robert Specht, 13.04.2017 a Technical

More information

SIDE CHANNEL ATTACKS AGAINST IOS CRYPTO LIBRARIES AND MORE DR. NAJWA AARAJ HACK IN THE BOX 13 APRIL 2017

SIDE CHANNEL ATTACKS AGAINST IOS CRYPTO LIBRARIES AND MORE DR. NAJWA AARAJ HACK IN THE BOX 13 APRIL 2017 SIDE CHANNEL ATTACKS AGAINST IOS CRYPTO LIBRARIES AND MORE DR. NAJWA AARAJ HACK IN THE BOX 13 APRIL 2017 WHAT WE DO What we do Robust and Efficient Cryptographic Protocols Research in Cryptography and

More information

AVR MICROCONTROLLER ARCHITECTURTE

AVR MICROCONTROLLER ARCHITECTURTE AVR MICROCONTROLLER ARCHITECTURTE AVR MICROCONTROLLER AVR- Advanced Virtual RISC. The founders are Alf Egil Bogen Vegard Wollan RISC AVR architecture was conceived by two students at Norwegian Institute

More information

Pump-probe. probe optical laser systems at FLASH. S. Düsterer

Pump-probe. probe optical laser systems at FLASH. S. Düsterer Pump-probe probe optical laser systems at FLASH S. Düsterer Outline Current status of the laser systems ( what is working) Planned upgrades till spring 2010 ( what will be working) The future. Lasers from

More information

Masking as a Side-Channel Countermeasure in Hardware

Masking as a Side-Channel Countermeasure in Hardware Masking as a Side-Channel Countermeasure in Hardware 6. September 2016 Ruhr-Universität Bochum 1 Agenda Physical Attacks and Side Channel Analysis Attacks Measurement setup Power Analysis Attacks Countermeasures

More information

GX5296 DIGITAL I/O DYNAMIC DIGITAL I/O WITH PER CHANNEL TIMING, PROGRAMMABLE LOGIC LEVELS AND PMU PXI CARD DESCRIPTION FEATURES

GX5296 DIGITAL I/O DYNAMIC DIGITAL I/O WITH PER CHANNEL TIMING, PROGRAMMABLE LOGIC LEVELS AND PMU PXI CARD DESCRIPTION FEATURES DYNAMIC WITH PER CHANNEL TIMING, PROGRAMMABLE LOGIC LEVELS AND PMU PXI CARD Timing per pin, multiple time sets and flexible sequencer 32 input / output channels with PMU per pin 4 additional control /

More information

BLIND FAULT ATTACK AGAINST SPN CIPHERS FDTC 2014

BLIND FAULT ATTACK AGAINST SPN CIPHERS FDTC 2014 BLIND FAULT ATTACK AGAINST SPN CIPHERS FDTC 2014 Roman Korkikian, Sylvain Pelissier, David Naccache September 23, 2014 IN BRIEF Substitution Permutation Networks (SPN) Fault attacks Blind fault attack

More information

Liverage Technology Product Specification. 3Gbps Video Single TX or RX. SFP Optical Transmitter or Receiver

Liverage Technology Product Specification. 3Gbps Video Single TX or RX. SFP Optical Transmitter or Receiver Liverage Technology Product Specification of 3Gbps Video Single TX or RX SFP Optical Transmitter or Receiver Content 1. General Description... 1 2. Features... 1 3. Applications... 1 4. Absolute Ratings...

More information

POWER4 Test Chip. Bradley D. McCredie Senior Technical Staff Member IBM Server Group, Austin. August 14, 1999

POWER4 Test Chip. Bradley D. McCredie Senior Technical Staff Member IBM Server Group, Austin. August 14, 1999 Bradley D. McCredie Senior Technical Staff Member Server Group, Austin August 14, 1999 Presentation Overview Design objectives Chip overview Technology Circuits Implementation Results Test Chip Objectives

More information

How multi-fault injection. of smart cards. Marc Witteman Riscure. Session ID: RR-201 Session Classification: Advanced

How multi-fault injection. of smart cards. Marc Witteman Riscure. Session ID: RR-201 Session Classification: Advanced How multi-fault injection breaks Title the of Presentation security of smart cards Marc Witteman Riscure Session ID: RR-201 Session Classification: Advanced Imagine you could turn your BART EZ Rider fare

More information

CSE 466 Exam 1 Winter, 2010

CSE 466 Exam 1 Winter, 2010 This take-home exam has 100 points and is due at the beginning of class on Friday, Feb. 13. (!!!) Please submit printed output if possible. Otherwise, write legibly. Both the Word document and the PDF

More information

DIGITAL CONTROL/COMMAND DEDICATED TO CONVERTERS GLOBAL OVERVIEW

DIGITAL CONTROL/COMMAND DEDICATED TO CONVERTERS GLOBAL OVERVIEW Control Interface for Real-time Regulation of Units & Systems DIGITAL CONTROL/COMMAND DEDICATED TO CONVERTERS GLOBAL OVERVIEW 2 PSU control/command : CIRRUS CIRRUS : Overview DIGITAL REGULATION FOR POWER

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

JUST ONE FAULT Persistent Fault Analysis on Block Ciphers

JUST ONE FAULT Persistent Fault Analysis on Block Ciphers JUST ONE FAULT Persistent Fault Analysis on Block Ciphers Shivam Bhasin Temasek Labs @ NTU ASK 2018, Kolkata, India 15 Nov 2018 Table of Contents 1. 2. 3. 4. Introduction to Fault Attacks Persistent Fault

More information

External Encodings Do not Prevent Transient Fault Analysis

External Encodings Do not Prevent Transient Fault Analysis External Encodings Do not Prevent Transient Fault Analysis Christophe Clavier Gemalto, Security Labs CHES 2007 Vienna - September 12, 2007 Christophe Clavier CHES 2007 Vienna September 12, 2007 1 / 20

More information

Testing Gated Mode on Hybrid 4.1

Testing Gated Mode on Hybrid 4.1 Testing Gated Mode on Hybrid 4.1 1 Injection Scheme of SuperKEKB RF frequency 508 MHz 2503 bunches noisy bunches 100ns apart 20 µs frame ~ cooling: 4ms noisy / ~ 400 packets ~ 16 ms clean continuous injection

More information

Functional Specification

Functional Specification EUROPEAN ORGANIZATION FOR NUCLEAR RESEARCH ORGANISATION EUROPEENE POUR LA RECHERCHE NUCLEAIRE White Rabbit Switch Functional Specification Version: 0.c Date: September 6 of 2010. Author: J. Gabriel Ramírez

More information

SO-QSFP-SR-BD QSFP+, 40G, BIDI, duplex MM, LC

SO-QSFP-SR-BD QSFP+, 40G, BIDI, duplex MM, LC SO-QSFP-SR-BD QSFP+, 40G, BIDI, duplex MM, LC FEATURES: Compliant to the 40GbE XLPPI electrical specification per IEEE 802.3ba-2010 Compliant to QSFP+ SFF-8436 Specification Aggregate bandwidth of > 40Gbps

More information

HT1628 RAM Mapping LCD Driver

HT1628 RAM Mapping LCD Driver RAM Mapping 116 2 LCD Driver Features Logic voltage 2.4V~5.5V LCD operating voltage (VLCD) 2.4V~5.5V LCD display 2 commons, 116 segments Support a maximum of 58 4 bit Display RAM Duty Static, 1/2; Bias

More information

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors Wing-kei Yu, Shantanu Rajwade, Sung-En Wang, Bob Lian, G. Edward Suh, Edwin Kan Cornell University 2 of 32 Self-Powered Devices

More information

Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers

Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers Israel Koren Department of Electrical and Computer Engineering Univ. of Massachusetts, Amherst, MA collaborating with Luca Breveglieri,

More information

TRiCAM APPLICATIONS KEY FEATURES. Time Resolved intensified CAMera. TRiCAM 13001A01 31/10/2013

TRiCAM APPLICATIONS KEY FEATURES. Time Resolved intensified CAMera. TRiCAM 13001A01 31/10/2013 TRiCAM Time Resolved intensified CAMera The TRiCAM is a compact Intensified CCD camera for scientific and industrial applications that require 1) lowlight level imaging, 2) ultra-short exposures through

More information

PARAMETRIC TROJANS FOR FAULT-BASED ATTACKS ON CRYPTOGRAPHIC HARDWARE

PARAMETRIC TROJANS FOR FAULT-BASED ATTACKS ON CRYPTOGRAPHIC HARDWARE PARAMETRIC TROJANS FOR FAULT-BASED ATTACKS ON CRYPTOGRAPHIC HARDWARE Raghavan Kumar, University of Massachusetts Amherst Contributions by: Philipp Jovanovic, University of Passau Wayne P. Burleson, University

More information

Chosen-IV Correlation Power Analysis on KCipher-2 and a Countermeasure

Chosen-IV Correlation Power Analysis on KCipher-2 and a Countermeasure Fourth International Workshop on Constructive Side-Channel Analysis and Secure Design (COSADE 2013) Chosen-IV Correlation Power Analysis on KCipher-2 and a Countermeasure Takafumi Hibiki*, Naofumi Homma*,

More information

CCS Technical Documentation NHL-2NA Series Transceivers. Camera Module

CCS Technical Documentation NHL-2NA Series Transceivers. Camera Module CCS Technical Documentation NHL-2NA Series Transceivers Issue 1 07/02 Nokia Corporation NHL-2NA CCS Technical Documentation [This page left intentionally blank] Page 2 Nokia Corporation Issue 1 07/02 CCS

More information

Electromagnetic Interference (EMI) FCC Part 15 Class B Compatible with standards. FDA 21CFR and EN60950, EN (IEC) ,2

Electromagnetic Interference (EMI) FCC Part 15 Class B Compatible with standards. FDA 21CFR and EN60950, EN (IEC) ,2 CFP2-100GB-LR4-LEG 100GBASE-LR4 CFP SMF 1310NM 10KM REACH LC DOM CFP2-100GB-LR4-LEG 100Gbase CFP Transceiver Features Operating optical data rate up to 112Gbps Transmission distance up to 10km CFP MSA

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

TFS 2100 Traveling Wave Fault Location System

TFS 2100 Traveling Wave Fault Location System Traveling Wave Fault Location System The most accurate overhead transmission and distribution line fault locator Accuracy: ±150m typical regardless the line length Unaffected by fault resistance Suitable

More information

Clock and Fuses. Prof. Prabhat Ranjan Dhirubhai Ambani Institute of Information and Communication Technology, Gandhinagar

Clock and Fuses. Prof. Prabhat Ranjan Dhirubhai Ambani Institute of Information and Communication Technology, Gandhinagar Clock and Fuses Prof. Prabhat Ranjan Dhirubhai Ambani Institute of Information and Communication Technology, Gandhinagar Reference WHY YOU NEED A CLOCK SOURCE - COLIN O FLYNN avrfreaks.net http://en.wikibooks.org/wiki/atmel_avr

More information

Optical SerDes Test Interface for High-Speed and Parallel Testing

Optical SerDes Test Interface for High-Speed and Parallel Testing June 7-10, 2009 San Diego, CA SerDes Test Interface for High-Speed and Parallel Testing Sanghoon Lee, Ph. D Sejang Oh, Kyeongseon Shin, Wuisoo Lee Memory Division, SAMSUNG ELECTRONICS Why Interface? High

More information

CODE ANALYSES FOR NUMERICAL ACCURACY WITH AFFINE FORMS: FROM DIAGNOSIS TO THE ORIGIN OF THE NUMERICAL ERRORS. Teratec 2017 Forum Védrine Franck

CODE ANALYSES FOR NUMERICAL ACCURACY WITH AFFINE FORMS: FROM DIAGNOSIS TO THE ORIGIN OF THE NUMERICAL ERRORS. Teratec 2017 Forum Védrine Franck CODE ANALYSES FOR NUMERICAL ACCURACY WITH AFFINE FORMS: FROM DIAGNOSIS TO THE ORIGIN OF THE NUMERICAL ERRORS NUMERICAL CODE ACCURACY WITH FLUCTUAT Compare floating point with ideal computation Use interval

More information

Product Specification. 10Gbps 2KM XFP Transceiver PLXFP1310GLR02

Product Specification. 10Gbps 2KM XFP Transceiver PLXFP1310GLR02 Product Specification 10Gbps 2KM XFP Transceiver PLXFP1310GLR02 Product Features 9.95Gbps to 11.1Gbps data links 2km with 9/125µm SMF V20140818 1310nm FP laser Duplex LC Connector Hot-pluggable XFP footprint

More information

AVR ISA & AVR Programming (I)

AVR ISA & AVR Programming (I) AVR ISA & AVR Programming (I) Lecturer: Sri Parameswaran Notes by: Annie Guo Week 1 1 Lecture Overview AVR ISA AVR Instructions & Programming (I) Basic construct implementation Week 1 2 1 Atmel AVR 8-bit

More information

Crosstalk Noise Avoidance in Asynchronous Circuits

Crosstalk Noise Avoidance in Asynchronous Circuits Crosstalk Noise Avoidance in Asynchronous Circuits Alexander Taubin (University of Aizu) Alex Kondratyev (University of Aizu) J. Cortadella (Univ. Politecnica Catalunya) Luciano Lavagno (University of

More information

ATICE10... User Guide

ATICE10... User Guide ATICE10... User Guide Table of Contents Section 1 Introduction... 1-1 1.1 General Description...1-1 1.2 External Connections...1-2 1.3 Power System...1-2 1.4 Reset System...1-2 1.5 Trace Buffer...1-3

More information

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018 Application Note AN105 A1 PCB Design and Layout Considerations for Adesto Memory Devices March 8, 2018 Adesto Technologies 2018 3600 Peterson Way Santa Clara CA. 95054 Phone 408 400 0578 www.adestotech.com

More information

250 Mbps Transceiver in LC FB2M5LVR

250 Mbps Transceiver in LC FB2M5LVR 250 Mbps Transceiver in LC FB2M5LVR DATA SHEET 650 nm 250 Mbps Fiber Optic Transceiver with LC Termination LVDS I/O IEC 61754-20 Compliant FEATURES LC click lock mechanism for confident connections Compatible

More information

AVR ISA & AVR Programming (I) Lecturer: Sri Parameswaran Notes by: Annie Guo

AVR ISA & AVR Programming (I) Lecturer: Sri Parameswaran Notes by: Annie Guo AVR ISA & AVR Programming (I) Lecturer: Sri Parameswaran Notes by: Annie Guo 1 Lecture Overview AVR ISA AVR Instructions & Programming (I) Basic construct implementation 2 Atmel AVR 8-bit RISC architecture

More information

ELCT501 Digital System Design Winter Tutorial #11 FPGA Complete Design Flow with LCD Example

ELCT501 Digital System Design Winter Tutorial #11 FPGA Complete Design Flow with LCD Example ELCT501 Digital System Design Winter 2014 Tutorial #11 FPGA Complete Design Flow with LCD Example Design a 4-bit Up-Counter with Enable Design Flow Steps: 1. Write VHDL code for the counter. 2. Test the

More information

Title Laser testing and analysis of SEE in DDR3 memory components

Title Laser testing and analysis of SEE in DDR3 memory components Title Laser testing and analysis of SEE in DDR3 memory components Name P. Kohler, V. Pouget, F. Wrobel, F. Saigné, P.-X. Wang, M.-C. Vassal pkohler@3d-plus.com 1 Context & Motivation Dynamic memories (DRAMs)

More information

PI6CEQ PCIe Gen2 / Gen3 Buffer. Features. Description. Applications. Block Diagram. Pin Configuration (20-Pin TSSOP & 20-Pin QSOP)

PI6CEQ PCIe Gen2 / Gen3 Buffer. Features. Description. Applications. Block Diagram. Pin Configuration (20-Pin TSSOP & 20-Pin QSOP) PCIe Gen2 / Gen3 Buffer Features ÎÎPCIe Gen2/ Gen3* compliant clock buffer/zdb * Gen3 performance only available in Commercial temp ÎÎInternal equalization for better signal integrity ÎÎ2 HCSL outputs

More information

Analysis and Design of Clock-glitch Fault Injection within an FPGA

Analysis and Design of Clock-glitch Fault Injection within an FPGA Analysis and Design of Clock-glitch Fault Injection within an FPGA by Masoumeh Dadjou A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of Master

More information

Detector Control System board for FAIR. J. A. Lucio Martínez Infrastructure and Computer Systems in Data Processing (IRI) Goethe University Frankfurt

Detector Control System board for FAIR. J. A. Lucio Martínez Infrastructure and Computer Systems in Data Processing (IRI) Goethe University Frankfurt Detector Control System board for FAIR J. A. Lucio Martínez Infrastructure and Computer Systems in Data Processing (IRI) Goethe University Frankfurt For the PANDA Collaboration Meeting in Darmstadt, 08.06.2016

More information

Description of the JRA1 Trigger Logic Unit (TLU), v0.2c

Description of the JRA1 Trigger Logic Unit (TLU), v0.2c EUDET Description of the JRA1 Trigger Logic Unit (TLU), v0.2c D. Cussans September 11, 2009 Abstract This document is an updated version of EUDET-Memo-2008-50. It describes the interfaces and operation

More information

3.3V ZERO DELAY CLOCK BUFFER

3.3V ZERO DELAY CLOCK BUFFER 3.3V ZERO DELAY CLOCK BUFFER IDT2309A FEATURES: Phase-Lock Loop Clock Distribution 10MHz to 133MHz operating frequency Distributes one clock input to one bank of five and one bank of four outputs Separate

More information

System Architecture Directions for Networked Sensors[1]

System Architecture Directions for Networked Sensors[1] System Architecture Directions for Networked Sensors[1] Secure Sensor Networks Seminar presentation Eric Anderson System Architecture Directions for Networked Sensors[1] p. 1 Outline Sensor Network Characteristics

More information

CN310 Microprocessor Systems Design

CN310 Microprocessor Systems Design CN310 Microprocessor Systems Design Microcontroller Nawin Somyat Department of Electrical and Computer Engineering Thammasat University Outline Course Contents 1 Introduction 2 Simple Computer 3 Microprocessor

More information

MM23SC8128RM Flash Security Turbo Microcontroller Smart Card Chip With 1024 bit RSA & Maths Co-processor

MM23SC8128RM Flash Security Turbo Microcontroller Smart Card Chip With 1024 bit RSA & Maths Co-processor Flash Security Turbo Microcontroller Smart Card Chip With 1024 bit RSA & Maths Co-processor 08 September 2009 This document is property of My-MS and My-MS has the right to make any changes to the contents

More information

STM8 platform 8-bit microcontrollers

STM8 platform 8-bit microcontrollers STM8 platform 8-bit microcontrollers We shoot for better performance & price MCD market vision 2 Flash size (bytes) 1 MB High performance and ultra-low-power STM32F (2.0 to 3.6 V) STM32L Ultra-low-power

More information

AVR ISA & AVR Programming (I) Lecturer: Sri Parameswaran Notes by: Annie Guo

AVR ISA & AVR Programming (I) Lecturer: Sri Parameswaran Notes by: Annie Guo AVR ISA & AVR Programming (I) Lecturer: Sri Parameswaran Notes by: Annie Guo 1 Lecture Overview AVR ISA AVR Instructions & Programming (I) Basic construct implementation 2 Atmel AVR 8-bit RISC architecture

More information

FIFTH SEMESTER DIPLOMA EXAMINATION IN ENGINEERING/ TECHNOLOGY-MARCH 2014 EMBEDDED SYSTEMS (Common for CT,CM) [Time: 3 hours] (Maximum marks : 100)

FIFTH SEMESTER DIPLOMA EXAMINATION IN ENGINEERING/ TECHNOLOGY-MARCH 2014 EMBEDDED SYSTEMS (Common for CT,CM) [Time: 3 hours] (Maximum marks : 100) (Revision-10) FIFTH SEMESTER DIPLOMA EXAMINATION IN ENGINEERING/ TECHNOLOGY-MARCH 2014 EMBEDDED SYSTEMS (Common for CT,CM) [Time: 3 hours] (Maximum marks : 100) PART-A (Maximum marks : 10) I. Answer all

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

Fault Sensitivity Analysis

Fault Sensitivity Analysis Fault Sensitivity Analysis Yang Li, Kazuo Sakiyama, Shigeto Gomisawa, Kazuo Ohta The University of Electro-Communications liyang@ice.uec.ac.jp Toshinori Fukunaga, Junko Takahashi NTT Information Sharing

More information

Description OUT0 1 OUTA1 OUTA1 2 OUTA2 3 OUTA3 OUTA4 OUTB1 6 OUTB2 7 OUTB2 OUTB3 OUTB4. All trademarks are property of their respective owners.

Description OUT0 1 OUTA1 OUTA1 2 OUTA2 3 OUTA3 OUTA4 OUTB1 6 OUTB2 7 OUTB2 OUTB3 OUTB4. All trademarks are property of their respective owners. Features Maximum rated frequency: 133 MHz Low cycle-to-cycle jitter Input to output delay, less than 200ps Internal feedback allows outputs to be synchronized to the clock input Spread spectrum compatible

More information

Embedded Systems Security

Embedded Systems Security Embedded Systems Security Guy GOGNIAT guy.gogniat@univ-ubs.fr Journée 2007 de la section électronique du club EEA SiP et SoC : nouvelles perspectives, nouveaux défis Session Sécurité Informatique Mercredi

More information

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1]

Area Array Probe Card Interposer. Raphael Robertazzi IBM Research 6/4/01. 6/4/01 IBM RESEARCH Page [1] Area Array Probe Card Interposer Raphael Robertazzi IBM Research 6/4/01 6/4/01 IBM RESEARCH Page [1] Motivation: Outline Probe Cards for Testing Complex ICs in the Developmental Stage. Hand Wired Space

More information

HOST Differential Power Attacks ECE 525

HOST Differential Power Attacks ECE 525 Side-Channel Attacks Cryptographic algorithms assume that secret keys are utilized by implementations of the algorithm in a secure fashion, with access only allowed through the I/Os Unfortunately, cryptographic

More information

Development Tools. 8-Bit Development Tools. Development Tools. AVR Development Tools

Development Tools. 8-Bit Development Tools. Development Tools. AVR Development Tools Development Tools AVR Development Tools This section describes some of the development tools that are available for the 8-bit AVR family. Atmel AVR Assembler Atmel AVR Simulator IAR ANSI C-Compiler, Assembler,

More information

Introduction. SDIO Bus

Introduction. SDIO Bus In this Application Note we discuss the SDIO Protocol, the challenges involved in Protocol breakdown and PGY-SSM comprehensive Protocol Analysis solution for decode and analysis. Introduction. SDIO offers

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Interceptive side channel attack on wireless communications for IoT applications( Main Article ) Author(s)

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

Agilent High Performance Laser Interferometer Positioning for VMEbus Systems

Agilent High Performance Laser Interferometer Positioning for VMEbus Systems Agilent High Performance Laser Interferometer Positioning for VMEbus Systems Product Overview Agilent 10895A Laser Axis Board Agilent 10896B Laser Compensation Board Agilent 10897B High Resolution Laser

More information

High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface. Outline

High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface. Outline High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface Hideki Osaka Hitachi Ltd., Kanagawa, Japan oosaka@sdl.hitachi.co.jp Toyohiko Komatsu Hitachi Ltd., Kanagawa, Japan

More information

4-Megabit 2.7-volt Only Serial DataFlash AT45DB041. Features. Description. Pin Configurations

4-Megabit 2.7-volt Only Serial DataFlash AT45DB041. Features. Description. Pin Configurations Features Single 2.7V - 3.6V Supply Serial Interface Architecture Page Program Operation Single Cycle Reprogram (Erase and Program) 2048 Pages (264 Bytes/Page) Main Memory Two 264-Byte SRAM Data Buffers

More information

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design

Session 4a. Burn-in & Test Socket Workshop Burn-in Board Design Session 4a Burn-in & Test Socket Workshop 2000 Burn-in Board Design BURN-IN & TEST SOCKET WORKSHOP COPYRIGHT NOTICE The papers in this publication comprise the proceedings of the 2000 BiTS Workshop. They

More information

NOVOHALL Rotary Sensor touchless transmissiv. Series RFC4800 SSI, SPI, Incremental

NOVOHALL Rotary Sensor touchless transmissiv. Series RFC4800 SSI, SPI, Incremental NOVOHALL Rotary Sensor touchless transmissiv Series RFC4800 SSI, SPI, Incremental The sensor utilizes the orientation of a magnetic field for the determination of the actual position. Therefore, a magnet

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

GPP SRC 10Gbps SFP+ Optical Transceiver, 300m Reach

GPP SRC 10Gbps SFP+ Optical Transceiver, 300m Reach Features GPP-85192-SRC 10Gbps SFP+ Optical Transceiver, 300m Reach Optical interface compliant to IEEE 802.3ae 10GBASE-SR Electrical interface compliant to SFF-8431 Hot Pluggable 850nm VCSEL transmitter,

More information

One Plus One is More than Two: A Practical Combination of Power and Fault Analysis Attacks on PRESENT and PRESENT-like Block Ciphers

One Plus One is More than Two: A Practical Combination of Power and Fault Analysis Attacks on PRESENT and PRESENT-like Block Ciphers One Plus One is More than Two: A Practical Combination of Power and Fault Analysis Attacks on PREENT and PREENT-like Block Ciphers ikhar Patranabis, Debdeep Mukhopadhyay Department of CE, IIT Kharagpur,

More information

EE 308: Microcontrollers

EE 308: Microcontrollers EE 308: Microcontrollers AVR Architecture Aly El-Osery Electrical Engineering Department New Mexico Institute of Mining and Technology Socorro, New Mexico, USA January 23, 2018 Aly El-Osery (NMT) EE 308:

More information

A PRACTICAL APPROACH TO POWER TRACE MEASUREMENT FOR DIFFERENTIAL POWER ANALYSIS BASED ATTACKS

A PRACTICAL APPROACH TO POWER TRACE MEASUREMENT FOR DIFFERENTIAL POWER ANALYSIS BASED ATTACKS Bulletin of the Transilvania University of Braşov Series I: Engineering Sciences Vol. 6 (55) No. 2-2013 A PRACTICAL APPROACH TO POWER TRACE MEASUREMENT FOR DIFFERENTIAL POWER ANALYSIS BASED ATTACKS C.L.

More information

TORCH: A large-area detector for precision time-of-flight measurements at LHCb

TORCH: A large-area detector for precision time-of-flight measurements at LHCb TORCH: A large-area detector for precision time-of-flight measurements at LHCb Neville Harnew University of Oxford ON BEHALF OF THE LHCb RICH/TORCH COLLABORATION Outline The LHCb upgrade TORCH concept

More information

STM32F3. Cuauhtémoc Carbajal ITESM CEM 12/08/2013

STM32F3. Cuauhtémoc Carbajal ITESM CEM 12/08/2013 STM32F3 Cuauhtémoc Carbajal ITESM CEM 12/08/2013 1 STM32 Portfolio 2 32 bit/dsc Applications A typical embedded system with both control and signal processing requirements Digital Signal Controllers efficient

More information

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring

Outline. Trusted Design in FPGAs. FPGA Architectures CLB CLB. CLB Wiring Outline Trusted Design in FPGAs Mohammad Tehranipoor ECE6095: Hardware Security & Trust University of Connecticut ECE Department Intro to FPGA Architecture FPGA Overview Manufacturing Flow FPGA Security

More information

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales

SAN FRANCISCO, CA, USA. Ediz Cetin & Oliver Diessel University of New South Wales SAN FRANCISCO, CA, USA Ediz Cetin & Oliver Diessel University of New South Wales Motivation & Background Objectives & Approach Our technique Results so far Work in progress CHANGE 2012 San Francisco, CA,

More information

Multi-Stage Fault Attacks

Multi-Stage Fault Attacks Multi-Stage Fault Attacks Applications to the Block Cipher PRINCE Philipp Jovanovic Department of Informatics and Mathematics University of Passau March 27, 2013 Outline 1. Motivation 2. The PRINCE Block

More information