Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches

Size: px
Start display at page:

Download "Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches"

Transcription

1 Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches Co-Organizer/Presider/Session Chair: Dr. Ioannis Tomkos Networks and Optical Communications group NOC

2 Session 2 Speakers & Panelists - I Chris Pfistner, Vice President, Datacom Product Line Management, Lumentum Chris joined the company in October 2015, bringing over 20 years of experience in Marketing, Sales, and Product Line Management in the global fiber optic module and systems market. Prior to Lumentum, Chris managed Finisar s product management team for optical transceivers. Before Finisar he built the transceiver business at NeoPhotonics. He was also a co-founder of Terawave, and held marketing and product management positions at AFC and Pirelli. During his career Chris has developed and launched several disruptive products based on innovative technologies and turned them into successful businesses. Chris holds Ph.D. and MS. degrees in Applied Physics from the University of Berne, in Switzerland. Brad Booth, Principal Engineer, Microsoft Brad Booth is a long-time leader in Ethernet technology development and standardization, currently heading up the 25/50G Ethernet Consortium and the Consortium for On-Board Optics (COBO). At Microsoft, he leads the development of hyperscale interconnect strategy for Microsoft s cloud datacenters. He is also the founder and past Chairman of the Ethernet Alliance. Brad was previously a Distinguished Engineer in the Office of the CTO at Dell Networking. He has also held senior strategist and engineering positions at Applied Micro, Intel, and PMC-Sierra. He was listed as one of the 50 most powerful people in networking by Network World magazine. 2

3 Session 2 Speakers & Panelists - II James Regan, CEO, Effect Photonics James has over 30 years of experience in the photonic component business, in product development, marketing, sales and general management in building successful businesses within large companies (Nortel, JDSU) and start-ups (Agility Communications). Silvio Abrate, Head of Applied Photonics, ISMB Silvio Abrate is head of the Applied Photonics research group at ISMB and manager of the PhotonLab research facility, held in cooperation with Politecnico di Torino. Mauro Macchi, Director SP EMEAR, Cisco Mauro s 20+ years career in telecom industry includes Engineering and Product Management roles in Pirelli, Cisco and Juniper Networks. He is currently leading EMEAR Business Overlay team for IP, Optical and Data Center technologies. 3

4 Why Photonic Integration? Why integration? Look back at the electronics! Pictures taken at: Whirlwind, MIT, 1952 EAI 580 patch panel, Electronic Associates, 1968 Today s state of computing is based on: Integration and scaling of the logic functions (CMOS electronics) Integration and scaling of the interconnects (PCB technology & assembly) 4 For optical interconnects, this resembles: Electro-optical integration and scaling of transceiver technology Integration of optical connectivity and signal distribution

5 What is possible with InP today? Fully integrated monolithic 8-channel OS- and AO-OFDM Tx InP PIC fabricated! EU project ASTRON Tx PIC presentation at ECOC 2016! OS-OFDM Tx PIC Source: EU project ASTRON (partner HHI) AO-OFDM Tx PIC ASTRON designed, fabricated and characterized fully integrated monolithic 8-channel OS-/AO- OFDM InP transmitter PIC, for the first time, integrating 8 IQ modulators and the other passive Tx building blocks (8- port AWG, 1x8 splitter/combiner) on a single PIC 5

6 Comparison of InP and SiPh technologies The development of the SiPh technology has helped to drive large-scale manufacturing of PICs at low costs, since they can leverage highly developed fabrication processes from the microelectronics industry. However, some analysts claim that InP platforms can, depending on yields, have production costs equal to or lower than SiPh, for the production volumes expected for telecom and DC applications. The table summarizes the pros and cons of InP and silicon photonics for PIC manufacturing Silicon photonics also has also the added advantage compared to InP that it can be integrated with electronic Ics, using 2.5D and 3D packaging, thus saving cost, footprint, and power. 6

7 Factors affecting PIC costs The PIC market is growing at a phenomenal rate as it provides significant improvements in system size, power consumption, reliability and cost. Many factors can affect the projected costs of a new technology, among which are: the scale of production (e.g. annual production volume), the manufacturing location (e.g. the difference between producing in the USA and East Asia), the cost and size of wafers, the maturity of the manufacturing process, and most importantly the production yields achievable for each technology 7

8 Relative cost per PIC The simple relation between achievable number of PICs per wafer and PIC size: Current InP SotA It is obvious that the decreasing number of available PICs per wafer, with increasing PIC size, is accompanied by increasing costs. The relative cost with decreasing number of PICs per wafer, for different substrate sizes and while assuming 100%(!) on-wafer yield is also shown. The cost calculation refers to a single MZ modulator fabricated on a 3-inch InP wafer as a reference (i.e. on-wafer cost of a single MZM = 1). This cost will be reduced by a factor of 2 if a 4-inch InP substrate is used instead of a 3-inch one, and even more with larger substrate sizes. 8 SiP can support larger wafers compared to InP and therefore, just for that, can result in lower cost PICs Source: EU project ASTRON (partner HHI)

9 Evolution of chip complexity for InPand SiPh- based ICs In the figure we can observe, the evolution of chip complexity for InPbased IC (blue) and SiPh-based IC without laser (red) and with heterogeneously integrated lasers (green) The problem of laser integration on silicon stems from the fact that silicon has an indirect bandgap and hence is a very inefficient light emitter. A possible energy-efficient and costeffective solution is wafer bonding of III V materials that can be wafer bonded to the silicon photonic chip to co-fabricate lasers that are lithographically aligned to the silicon waveguide circuit. 9 Source: M. J. R. Heck et al. Hybrid silicon photonic integrated circuit technology, IEEE J. Sel. Topics in Quantum Electronics, 2013

10 Integration approaches Photonic integration strategies can be divided into three main categories, each of them having its own pros and cons. In hybrid integration, multiple single-function devices are assembled into a single package, sometimes with associated ICs, and inter-connected to each other by electronic and/or optical couplings internal to the package. Several problems may arise from the use of this integration technology: alignment tolerances of 1-2microns are sometimes necessary; different materials for different components may have different optical, mechanical and thermal characteristics, etc. In semi-hybrid integration, specialized regions are grown in appropriate materials over a common substrate (normally silicon). Finally, in monolithic integration, devices are built into a common substrate, providing significant packaging consolidation, testing simplification, reduction in fibre couplings, improved reliability and maximum possible reduction in space and power consumption per device. In hybrid integration, the process steps whose yields have the biggest impact on cost are mainly the backend assembly steps, whereas in monolithic integration it is the frontend processes that have a greater impact. In principle significant savings can be expected when moving from hybrid integration to monolithic integration, provided that the process yield can be maintained high. 10

11 Integration Roadmap for SiP Cost-advantages through further integration Cost of optics determines the application scope Silicon photonics versatile and cost-efficient Si: indirect bandgap additional material for laser sources required Today: external laser source must be coupled expensive and high losses Already demonstrated: hybrid III-V on silicon integration (on-chip) DIMENSION breakthrough: processing of III-V devices at silicon wafer level Hybrid State-of-the-art Source: EU project DIMENSION (partner IBM) Semi-Hybrid demonstrated Monolithic 11 III-V on silicon integration

12 Directly Modulated Lasers on Silicon

13 DIMENSION approach Combining BiCMOS electronics, photonics and III-V on a new technology platform for monolithic electro-optical integration Integrated devices, with CMOS, photonic and III-V functionality at the cost of silicon volume fabrication Source: EU project DIMENSION (partner IBM) Si CMOS wafer at front-end level including silicon photonics Concept IP protected Bonding a III-V photonic membrane onto the first dielectric oxide (ILD1) 13 Metal interconnection of the III-V with the CMOS underneath Structuring of the III-V active photonics

14 Concept and Main Objectives Technology platform for monolithic integration of BiCMOS electronics with Si- and III-V photonics: Bonding or growth of ultra-thin (<500 nm) III-V quantum well stack on the FEOL (Bi)CMOS Cost-effective embedding of high-quality III-V structures in (Bi)CMOS BEOL Efficient optical coupling between silicon and III-V layer based on adiabatic mode conversion with high modal overlap for low power consumption and high-speed modulation Laser feedback and passive optical structures in silicon layer DIMENSION implementation of III-V materials in between the front-end-of-line and the back-end-of-line of a BiCMOS process Source: EU project DIMENSION (partner IBM) 14

15 Issues to be discussed/debated 15 Material system: InP vs. SiP vs.??? Integration approaches: monolithic vs. hybrid? Packaging approaches? Wavelength of operation: 850nm vs. 1310nm vs. 1550nm? Laser type: VCSELs vs. DFBs vs.??? Direct vs. external modulation? The road to 400G and then to 800G/1600G? Modulation formats: PAM vs. DMT vs. QAM? Direct vs. coherent detection? Extend of use of DSP? Optical switching in the DC? Others???

16 Session 2 Speakers & Panelists - I Chris Pfistner, Vice President, Datacom Product Line Management, Lumentum Chris joined the company in October 2015, bringing over 20 years of experience in Marketing, Sales, and Product Line Management in the global fiber optic module and systems market. Prior to Lumentum, Chris managed Finisar s product management team for optical transceivers. Before Finisar he built the transceiver business at NeoPhotonics. He was also a co-founder of Terawave, and held marketing and product management positions at AFC and Pirelli. During his career Chris has developed and launched several disruptive products based on innovative technologies and turned them into successful businesses. Chris holds Ph.D. and MS. degrees in Applied Physics from the University of Berne, in Switzerland. Brad Booth, Principal Engineer, Microsoft 16 Brad Booth is a long-time leader in Ethernet technology development and standardization, currently heading up the 25/50G Ethernet Consortium and the Consortium for On-Board Optics (COBO). At Microsoft, he leads the development of hyperscale interconnect strategy for Microsoft s cloud datacenters. He is also the founder and past Chairman of the Ethernet Alliance. Brad was previously a Distinguished Engineer in the Office of the CTO at Dell Networking. He has also held senior strategist and engineering positions at Applied Micro, Intel, and PMC-Sierra. The holder of 14 patents related to networking technologies, he has received awards from the IEEE Standards Association for work on Ethernet standards and awards for his contributions to Gigabit Ethernet, 10 Gigabit Ethernet, Backplane Ethernet and Ethernet in the First Mile. He was listed as one of the 50 most powerful people in networking by Network World magazine.

17 Session 2 Speakers & Panelists - II James Regan, CEO, Effect Photonics James has over 30 years of experience in the photonic component business, in product development, marketing, sales and general management in building successful businesses within large companies (Nortel, JDSU) and start-ups (Agility Communications). Silvio Abrate, Head of Applied Photonics, ISMB Silvio Abrate is head of the Applied Photonics research group at ISMB and manager of the PhotonLab research facility, held in cooperation with Politecnico di Torino. Mauro Macchi, Director SP EMEAR, Cisco Mauro s 20+ years career in telecom industry includes Engineering and Product Management roles in Pirelli, Cisco and Juniper Networks. He is currently leading EMEAR Business Overlay team for IP, Optical and Data Center technologies. 17

Intra Optical Data Center Interconnection: Session 1: Component & Module Focus

Intra Optical Data Center Interconnection: Session 1: Component & Module Focus Intra Optical Data Center Interconnection: Session 1: Component & Module Focus Co-Organizer/Presider/Session Chair: Dr. Ioannis Tomkos Networks and Optical Communications group NOC Session 1 Speakers Martin

More information

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 September 19, 2017 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits November 16, 2017 Michael Liehr Industry Driving Force EXA FLOP SCALE SYSTEM Blades SiPh Interconnect Network Memory Stack HP HyperX

More information

Integrated Optical Devices

Integrated Optical Devices Integrated Optical Devices May 2018 Integrated Optical Devices 2017 a good year for Silicon Photonics, a fantastic year for integrated InP and GaAs optics Source: Luxtera with text added by LightCounting

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck

How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck BE FIRST IN THE DATA-DRIVEN RACE How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck Hyperscale datacenter is all about ramping up quickly, gracefully and cost-effectively. So, it s ironic

More information

Integrated Optical Devices

Integrated Optical Devices Integrated Optical Devices January 2017 Month 2015 Integrated Optical Devices Is Silicon Photonics a Disruptive Technology? Source: Luxtera with text added by LightCounting 7 Table of Contents Table of

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Silicon Photonics and the Future of Optical Connectivity in the Data Center

Silicon Photonics and the Future of Optical Connectivity in the Data Center Silicon Photonics and the Future of Optical Connectivity in the Data Center ECOC MARKET FOCUS September 19, 2016 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center Traffic

More information

High-bandwidth CX4 optical connector

High-bandwidth CX4 optical connector High-bandwidth CX4 optical connector Dubravko I. Babić, Avner Badihi, Sylvie Rockman XLoom Communications, 11 Derech Hashalom, Tel-Aviv, Israel 67892 Abstract We report on the development of a 20-GBaud

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter

Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter Silicon Photonics: Failing to Deliver on WDM Promises for the Datacenter Silicon Photonics: Is it still in hype or on its way to the field? OFC 2015 Workshop 22 March 2015 Chris Cole Mainstream Datacenter

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Active Optical Cables Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Supplier of Mixed Signal Products Supplier of Mixed Signal Communication Semiconductors, public $230M Medical Communications

More information

Kotura Analysis: WDM PICs improve cost over LR4

Kotura Analysis: WDM PICs improve cost over LR4 Kotura Analysis: WDM PICs improve cost over LR4 IEEE P802.3bm - 40 Gb/s & 100 Gb/s Fiber Optic Task Force Sept 2012 Contributors: Mehdi Asghari, Kotura Samir Desai, Kotura Arlon Martin, Kotura Recall the

More information

Technology Leader For 100G And Beyond

Technology Leader For 100G And Beyond Technology Leader For 100G And Beyond Greg Dougherty Pete Mangan Needham Annual Growth Conference January 12, 2016 1 Safe Harbor Statement Forward-Looking Statements This presentation contains statements

More information

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies Organics in Photonics: Opportunities & Challenges Louay Eldada DuPont Photonics Technologies Market Drivers for Organic Photonics Telecom Application Product Examples Requirements What Organics Offer Dynamic

More information

PIC design across platforms. Ronald Broeke Bright Photonics

PIC design across platforms. Ronald Broeke Bright Photonics PIC design across platforms Ronald Broeke Bright Photonics OUTLINE Introduction PIC applications & designs MPW Materials & platforms Design modules PICs in Phoxtrot Design House for Photonics ICs Custom

More information

VCSEL Technology and Digital

VCSEL Technology and Digital VCSEL Technology and Digital Applications Marco Ghisoni Zarlink Semiconductor AB marco.ghisoni@zarlink.com Outline Introduction Today's Digital Applications Mass market Parallel optical modules Future

More information

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001 2000 Technology Roadmap Optoelectronics John Stafford, Motorola January 17, 2001 Optoelectronic Roadmap Agenda Optoelectronics Market Overview Optical Communications Roadmap Optical Communications Technology

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects This work was supported in part by DARPA under contract HR0011-08-9-0001. The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter

More information

Open access to photonic integration technologies

Open access to photonic integration technologies Open access to photonic integration technologies Academic and Industrial examples of photonic integrated circuits Katarzyna Ławniczuk k.lawniczuk@tue.nl What is photonic integration technology? multiple

More information

WHITE PAPER. Photonic Integration

WHITE PAPER. Photonic Integration WHITE PAPER Photonic Integration In the world of microprocessors, we have seen tremendous increases in computational power with simultaneous decreases in cost and power consumption resulting from integration

More information

Finisar Corporation Company Overview

Finisar Corporation Company Overview Finisar Corporation Company Overview January 2019 1 Safe Harbor This presentation contains forward-looking statements as defined under the Private Securities Litigation Act of 1995. Except for historical

More information

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. The Light on Board Company Document #: LA-970-063-00 Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. Who are we? Reflex designs and builds integrated parallel electrical-to-optical

More information

Next Generation Transceivers: The Roadmap Component Driver Contributions from Roadmap team. Dominic O Brien Mike Schabel

Next Generation Transceivers: The Roadmap Component Driver Contributions from Roadmap team. Dominic O Brien Mike Schabel Next Generation Transceivers: The Roadmap Component Driver Contributions from Roadmap team Dominic O Brien Mike Schabel Outline New markets Key challenges Potential evolution Recommendations Fibre to the

More information

ECOC Market Focus State of the Optical Transport Market

ECOC Market Focus State of the Optical Transport Market CONNECTING AT THE SPEED OF LIGHT ECOC 2017 - Market Focus State of the Optical Transport Market SEPTEMBER 19, 2017 1 Demand Surging for Bandwidth and Network Capacity Traffic demand is growing for traditional

More information

Silicon Photonics Session

Silicon Photonics Session Advanced automated packaging and testing equipment to allow high volume manufacturing Torsten Vahrenkamp Torsten.Vahrenkamp@ficontec.com Silicon Photonics Session www.ficontec.com Our mission / what we

More information

DC Network Connectivity

DC Network Connectivity DC Network Connectivity Options & Optimizing TCO Rakesh SAMBARAJU - Application Engineer Nexans Data Center Solutions Agenda l Part I Ethernet Standards and Technologies Ø Current Ethernet Standards Ø

More information

Silicon Photonics PDK Development

Silicon Photonics PDK Development Hewlett Packard Labs Silicon Photonics PDK Development M. Ashkan Seyedi Large-Scale Integrated Photonics Hewlett Packard Labs, Palo Alto, CA ashkan.seyedi@hpe.com Outline Motivation of Silicon Photonics

More information

100G and Beyond: high-density Ethernet interconnects

100G and Beyond: high-density Ethernet interconnects 100G and Beyond: high-density Ethernet interconnects Kapil Shrikhande Sr. Principal Engineer, CTO Office Force10 Networks MIT MicroPhotonics Center Spring Meeting April 5, 2011 [ 1 ] Ethernet applications

More information

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland. Packaging and Integration Technologies for Silicon Photonics Dr. Peter O Brien, Tyndall National Institute, Ireland. Opportunities for Silicon Photonics Stress Sensors Active Optical Cable 300 mm Silicon

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

inemi Roadmap Packaging and Component Substrates TWG

inemi Roadmap Packaging and Component Substrates TWG inemi Roadmap Packaging and Component Substrates TWG TWG Leaders: W. R. Bottoms William Chen Presented by M. Tsuriya Agenda Situation Everywhere in Electronics Evolution & Blooming Drivers Changing inemi

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

Low power applications

Low power applications MSc in Photonics & Europhotonics Laser Systems and Applications 2017/2018 Low power applications Prof. Cristina Masoller Universitat Politècnica de Catalunya cristina.masoller@upc.edu www.fisica.edu.uy/~cris

More information

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group Imaging, BiCMOS ASIC and Silicon Photonics Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group IBP Leading Position Targets 2 Image Sensors Solutions

More information

Lumentum Overview. Alan Lowe President and CEO. November 18, 2015

Lumentum Overview. Alan Lowe President and CEO. November 18, 2015 Lumentum Overview Alan Lowe President and CEO November 18, 2015 Forward Looking Statement and Financial Presentation This presentation contains forward-looking statements within the meaning of Section

More information

Packaging avancé pour les modules photoniques

Packaging avancé pour les modules photoniques I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Packaging avancé pour les modules photoniques S. Bernabé, CEA-Leti Marc Epitaux, SAMTEC Workshop «Photonique sur Silicium, une rupture attendue»

More information

II-VI to Acquire Finisar

II-VI to Acquire Finisar II-VI to Acquire Finisar Creates a Global Leader in Photonics and Compound Semiconductors November 9, 2018 Safe Harbor Statement This communication contains forward-looking statements within the meaning

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

Optical Interconnects: Trend and Applications

Optical Interconnects: Trend and Applications Optical Interconnects: Trend and Applications Yi-Jen Chan EOL, ITRI Wireless & Optical Communications conference 2008 April 23, 2008 OUTLINE Background and Motivation Trends of Optical Interconnects Technology

More information

Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques

Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques Dr. Henning Schröder, Fraunhofer IZM Dr. Henning Schröder Fraunhofer IZM, Berlin fon: ++49 30 46403-277, fax:

More information

Standardization Activities in International Electrotechnical Commission Technical Committee 86 (Fiber Optics)

Standardization Activities in International Electrotechnical Commission Technical Committee 86 (Fiber Optics) Standardization Activities in International Electrotechnical Commission Technical Committee 86 (Fiber Optics) Takashi Matsui, Noriyuki Araki, and Hisashi Izumita Abstract The International Electrotechnical

More information

WHITE PAPER. Photonic Integration

WHITE PAPER. Photonic Integration WHITE PAPER Photonic Integration In the world of microprocessors, we have seen tremendous increases in computational power with simultaneous decreases in cost and power consumption resulting from integration

More information

World s Largest Supplier of Optical Solutions for the Communications Industry. Investor Relations Presentation November 30, 2011

World s Largest Supplier of Optical Solutions for the Communications Industry. Investor Relations Presentation November 30, 2011 World s Largest Supplier of Optical Solutions for the Communications Industry Investor Relations Presentation November 30, 2011 Financial Presentation and Forward Looking Statements Forward Looking Statements

More information

Driving the future of datacenters

Driving the future of datacenters Driving the future of datacenters Making Fast Faster Product Catalog Power and performance for optimized mega-datacenters of the future Company Profile ColorChip brings high speed data transmission to

More information

Datacom Market Update

Datacom Market Update Datacom Market Update LightCounting Market Research LightCounting Webinar Dale LightCounting Murray February Webinar 12, February 2013412, 2014 1 Datacom Market Highlights Challenging macroeconomic conditions

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Optical Transceivers for 100GE

Optical Transceivers for 100GE Optical Transceivers for 100GE F3: Transceiver Circuits for Optical Communications ISSCC 10 11 February 2010 Chris Cole chris.cole@finisar.com Outline Optical Interface Types DSP in Datacom Optical Datacom

More information

Fiber Optic Cabling Systems for High Performance Applications

Fiber Optic Cabling Systems for High Performance Applications Fiber Optic Cabling Systems for High Performance Applications BICSI Conference Bangkok, Thailand 17-18 November 2016 Nicholas Yeo, RCDD/NTS/DCDC Data Center Trends Computing evolution Cloud computing Servers

More information

An Economic Comparison of PSM4, PAM, and LR4

An Economic Comparison of PSM4, PAM, and LR4 An Economic Comparison of PSM4, PAM, and LR4 Brian Welch www.luxtera.com Supporters Chris Bergey Luxtera Tom Palkert Luxtera John Petrilla Avago Jon Anderson Oclaro Arash Farhood Cortina Sudeep Bhoja Inphi

More information

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena SOI at the heart of the silicon photonics design Arnaud Rigny, Business Development Manager Semicon Europa, TechArena Outline 1 Market demand for optical interconnect 2 Silicon on Insulator for optical

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

PLANAR LIGHTWAVE CIRCUITS FOR USE IN ADVANCED OPTICAL INSTRUMENTATION

PLANAR LIGHTWAVE CIRCUITS FOR USE IN ADVANCED OPTICAL INSTRUMENTATION PLANAR LIGHTWAVE CIRCUITS FOR USE IN ADVANCED OPTICAL INSTRUMENTATION AN ENABLENCE ARTICLE WRITTEN BY DR. MATT PEARSON, VP TECHNOLOGY & ASHOK BALAKRISHNAN, DIRECTOR OF PRODUCT DEVELOPMENT PUBLISHED IN

More information

High Volume Photonics Manufacturing

High Volume Photonics Manufacturing Common Pla)orms Common Interfaces Cost Integra3on Cost INEMI Webcast 2-19- 15 High Volume Photonics Manufacturing Bob Pfahl, Principle Investigator bob.pfahl@inemi.org Lionel Kimerling, Principle Investigator

More information

The MIT Communications Technology Roadmap Program IPI TWG Report

The MIT Communications Technology Roadmap Program IPI TWG Report The MIT Communications Technology Roadmap Program IPI TWG Report May 19, 2006 Louay Eldada Integration, Packaging & Interconnection Technology Working Group CTO, VP Engineering DuPont Photonics Chair,

More information

Extend Your Reach. with. Signature Core Fiber Optic Cabling System

Extend Your Reach. with. Signature Core Fiber Optic Cabling System Extend Your Reach with Signature Core Fiber Optic Cabling System What Signature Core System Can Do For You Saves capital expenditures Allows using multimode fiber in some applications that may have required

More information

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 From Technologies to Market Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 2016 CONTENT Silicon Photonics value proposition

More information

AIM Photonics Overview Roger Helkey Associate Director, West Coast Hub

AIM Photonics Overview Roger Helkey Associate Director, West Coast Hub AIM Photonics Overview Roger Helkey Associate Director, West Coast Hub 1 Explosive Growth In Datacenter Traffic Global data center traffic to triple and reach a total of 7.7 zettabytes annually by 2017-25%

More information

How to Simulate and Optimize Integrated Optical Components. Lumerical Solutions, Inc.

How to Simulate and Optimize Integrated Optical Components. Lumerical Solutions, Inc. How to Simulate and Optimize Integrated Optical Components Lumerical Solutions, Inc. Outline Introduction Integrated optics for on-chip communication Impact on simulation Simulating planar devices Simulation

More information

Optical switching for scalable and programmable data center networks

Optical switching for scalable and programmable data center networks Optical switching for scalable and programmable data center networks Paraskevas Bakopoulos National Technical University of Athens Photonics Communications Research Laboratory @ pbakop@mail.ntua.gr Please

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego.

AT&S Company. Presentation. 3D Component Packaging. in Organic Substrate. Embedded Component. Mark Beesley IPC Apex 2012, San Diego. 3D Component Packaging AT&S Company in Organic Substrate Presentation Embedded Component Mark Beesley IPC Apex 2012, San Diego www.ats.net Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

OEpic s Business Presentation

OEpic s Business Presentation OEpic s Business Presentation 10-40Gb/s InP OEICs OEpic, Inc. Sunnyvale, California June, 2001 OEpic s Vision Advanced EO / OE Integration Integrate the Best of Optical Components With Millimeter Wave

More information

Introduction to Integrated Photonic Devices

Introduction to Integrated Photonic Devices Introduction to Integrated Photonic Devices Class: Integrated Photonic Devices Time: Wed. 1:10pm ~ 3:00pm. Fri. 10:10am ~ 11:00am Classroom: 資電 106 Lecturer: Prof. 李明昌 (Ming-Chang Lee) Block Diagram of

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

ETHERNET OPTICS TODAY: 25G NRZ

ETHERNET OPTICS TODAY: 25G NRZ ETHERNET OPTICS TODAY: 25G NRZ THE STATE OF ETHERNET OPTICS PANEL Brad Smith, Director of Marketing, LinkX Interconnects, Mellanox March 23, 2016 OFC 2016 Anaheim, CA BradS@Mellanox.com 1GBASE-T CAT5 is

More information

AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process

AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

NEMI Optoelectronic Substrates Project (Status Report) Jack Fisher - Project Leader

NEMI Optoelectronic Substrates Project (Status Report) Jack Fisher - Project Leader NEMI Optoelectronic Substrates Project (Status Report) Jack Fisher - Project Leader The optoelectronics industry is moving at a rapid pace and new ideas and processes occur almost every week. The printed

More information

Luxtera PN Silicon CMOS Photonic Chip Freescale 130 nm SOI CMOS Process

Luxtera PN Silicon CMOS Photonic Chip Freescale 130 nm SOI CMOS Process Luxtera PN1000001 Silicon CMOS Photonic Chip Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process Review Some of the information in this

More information

A 3-stage CLOS architecture for high-throughput optical packet switching

A 3-stage CLOS architecture for high-throughput optical packet switching Invited Paper A 3-stage CLOS architecture for high-throughput optical packet switching H.J.S. Dorren, Nicola Calabretta and Oded Raz COBRA Research Institute, Eindhoven University of Technology, P.O. Box

More information

New Data Center and Transport Interconnect Technology

New Data Center and Transport Interconnect Technology New Data Center and Transport Interconnect Technology Tom McDermott Fujitsu Network Communications, Inc. October 30, 2014 Changing Requirements Datacenters are expanding in size. Continued need for 100m

More information

ZEISS Launches New High-resolution 3D X-ray Imaging Solutions for Advanced Semiconductor Packaging Failure Analysis

ZEISS Launches New High-resolution 3D X-ray Imaging Solutions for Advanced Semiconductor Packaging Failure Analysis Press Release ZEISS Launches New High-resolution 3D X-ray Imaging Solutions for Advanced Semiconductor Packaging Failure Analysis New submicron and nanoscale XRM systems and new microct system provide

More information

Issue1 November

Issue1 November Issue1 November 2017 www.towerxchange.com/the-future-network www.towerxchange.com/meetup/the-future-network/ The Future Network @ TowerXchange Meetup Asia 2017, 12-13 December, Marina Bay Sands, Singapore

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

Choosing the Right Photonic Design Software

Choosing the Right Photonic Design Software White Paper Choosing the Right Photonic Design Software September 2016 Authors Chenglin Xu RSoft Product Manager, Synopsys Dan Herrmann CAE Manager, Synopsys Introduction There are many factors to consider

More information

Disruptive Integration in Photonics

Disruptive Integration in Photonics POET Technologies Inc. June 2017 Disruptive Integration in Photonics Dr. Suresh Venkatesan - CEO Mr. Thomas R. Mika - CFO Safe Harbor This presentation contains forward-looking statements and forward-looking

More information

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016 On Board Optical Interconnection A Joint Development Project Consortium Terry Smith & John MacWilliams October 31, 2016 Presentation Outline Executive Summary Issues in Board-Level Optical Interconnect

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Is 1Tb/s Ready for Prime Time? Engineering Reality Check

Is 1Tb/s Ready for Prime Time? Engineering Reality Check Is 1Tb/s Ready for Prime Time? Engineering Reality Check Terabit Optical Ethernet IEEE Photonics Society Summer Topical Montreal, Canada 18-20 July 2011 Chris Cole Ilya Lyubomirsky chris.cole@finisar.com

More information

Using On-Board Optics for Networking Technology Innovation

Using On-Board Optics for Networking Technology Innovation Using On-Board Optics for Networking Technology Innovation OVERVIEW OF THE ON-BOARD OPTICAL MODULE RELEASE 1.0 SPECIFICATION The Consortium for Onboard Optics March 2018 TABLE OF CONTENTS Introduction

More information

Heterogeneous SoCs. May 28, 2014 COMPUTER SYSTEM COLLOQUIUM 1

Heterogeneous SoCs. May 28, 2014 COMPUTER SYSTEM COLLOQUIUM 1 COSCOⅣ Heterogeneous SoCs M5171111 HASEGAWA TORU M5171112 IDONUMA TOSHIICHI May 28, 2014 COMPUTER SYSTEM COLLOQUIUM 1 Contents Background Heterogeneous technology May 28, 2014 COMPUTER SYSTEM COLLOQUIUM

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Benn Thomsen. Microsoft Research

Benn Thomsen. Microsoft Research Benn Thomsen Microsoft Research Operating Optics at scale Data Center 1 km radius 150,000 servers 120,000 100G optical transceivers Regional Network 70km radius 512 fibre pairs 2Pb/s WAN Data centers in

More information

Active. Beyond! Optical Cables. and. Express, and. Sr. Vice. Page 1

Active. Beyond! Optical Cables. and. Express, and. Sr. Vice. Page 1 Active Optical Cables 2011 to InfiniBand and Beyond! Telecom and datacom markets: InfiniBand, Ethernet, Fibre Channel, SAS, PCI Express, and Consumer Markets. What you need to know about AOCs the Hype

More information

NBASE-T Campus Network Market Update

NBASE-T Campus Network Market Update NBASE-T Campus Network Market Update Webinar Speakers Peter Jones Chairman, NBASE-T Alliance Distinguished Engineer, Cisco chairman@nbaset.org @petergjones @nbasetalliance Tam Dell Oro CEO and Founder,

More information

Optical transceiver trends for data center applications How much photonic integration do we need?

Optical transceiver trends for data center applications How much photonic integration do we need? Optical transceiver trends for data center applications How much photonic integration do we need? Robert Blum April 23, 2015 MIT Microphotonics Center Spring Meeting 1 Rapid Growth In Global Network Traffic

More information

High Speed Optical Link Based on Integrated Silicon Photonics

High Speed Optical Link Based on Integrated Silicon Photonics High Speed Optical Link Based on Integrated Silicon Photonics Dr. Haisheng Rong Photonics Research Lab Intel Corporation www.intel.com/go/sp PKU, Summer School July 04, 2012 Agenda Motivation Electronic

More information

The Infinite Network. Built on the Science of Simplicity. Everywhere. Always. Instantly.

The Infinite Network. Built on the Science of Simplicity. Everywhere. Always. Instantly. Built on the Science of Simplicity Everywhere. Always. Instantly. DISRUPTIVE DEMANDS A new generation of applications is coming to challenge your network. While overall bandwidth demand continues to grow

More information

CEI-56G Signal Integrity to the Forefront

CEI-56G Signal Integrity to the Forefront CEI-56G Signal Integrity to the Forefront As the next generation of data rates beyond 28Gb/s were being contemplated a number of key questions arose; would the previously reliable NRZ (non return to zero)

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information