AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

Size: px
Start display at page:

Download "AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits"

Transcription

1 AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits November 16, 2017 Michael Liehr

2 Industry Driving Force EXA FLOP SCALE SYSTEM Blades SiPh Interconnect Network Memory Stack HP HyperX dense WDM multi Tb/s low energy integrated transceivers high radix nanosecond scale photonic switch fabric CMPs high performance embedded computing data centers Copyright AIM Photonics

3 With permission from John E. Bowers Silicon or InP? 300 mm Silicon - ~$0.2 cm mm InP - ~$4.0 cm -2 (Photo courtesy of Dr. Jordan Lang, Yale) CMOS processing of photonics is already happening, yet high cost and small size of III-V wafers remains an issue. Goal: Grow III-V active components on larger and cheaper silicon substrates without sacrificing laser performance for lower cost and higher throughput. [1] Bowers, John E., et al. "A Path to 300 mm Hybrid Silicon Photonic Integrated Circuits. OFC 2014

4 Market Segments Market Forecast High performance computing earliest adapters Medical, consumer and chip-chip drive real volumes 4

5 Photonic Circuit Manufacturing With permission from John E. Bowers Silicon photonics PIC using silicon foundries Package assembly using silicon Assembly and Test Infrastructure Low cost optical connector attach

6 Key Technology Manufacturing Areas Projects Datacom High Capacity Photonic Interconnected Systems: Scalable Datacenter Switching & Interposer Solutions Analog/RF Applications High Dynamic Range RF Photonics for Wideband Systems Integrated Photonic Analog Link and Processing on InP P-Contact N-Contact Photonic Integrated Circuit (PIC) Sensors Universal Transducer Components and Microfluidic Systems for Sensing Photonic Integrated Circuit (PIC) Array Technologies Free-Space Communications with PIC Array 7

7 Datacom Two significant challenges for the Datacenter and more broadly for Datacom System high-capacity communications high efficiency switching Cisco VNI Forecasts 194 EB per Month of IP Traffic by 2020 Optical Transmission market trend for Data Centers 8

8 DataCom Transceiver Roadmap - preliminary 2.56Tbs Strategic differentiation potentials 2020 ~0.5 $/Gbs* 400Gbs 100Gbs Long Term competitiveness Short Term performance proof 2019 < 2 $/Gbs* 2018 > 5 $/Gbs* Market Price Estimates ($/Gbs) Architecture 8x 320Gbs 8 wavelength 64 Lasers / 8 CMOS Architecture 4x 100Gbs 4 Lasers / 4 CMOS Customer Margin Licenses Capsulation CMOS Packaging Wafer/2.5D/3D Lasers * estimates 2020/21 <<0.5 $/Gbs*

9 Manufacturing Centers Electronic Photonic Design Automation (EPDA) Reference Design and System Co-sim Modeling EPDA Standards Development DFM Methods, PDK Extensions and Tools for Photonic Systems Multi-Project Wafer and Assembly (MPWA) Si Photonics MPWA: SUNY Poly 300 mm Si Photonics process moving to 3D integration Optical/Electrical WAC Testing & Automated probe development 2.5D Integration of Lasers/PICs on passive and active interposers InP MPW & EPDA Heteroepitaxy growth of Q-dot lasers on 300mm Si wafers 10

10 EPDA Effort

11 Silicon Photonics Multi Project Wafer (MPW) MPW Fab Runs SUNY Poly 300mm fab line 3 MPW offerings Full-Active- 3 runs in 2018 and 2019 Passive- 3 runs in 2018 and 2019 Interposer- 1 run in 2018 and 2019 MOSIS is the MPW Aggregator DRC clean designs (with Mentor Calibre) are submitted to MOSIS MOSIS also distributes the PDK MPW Pricing (minimum 20 unpackaged die) FULL (Active) 51mm 2 chips $100K AIM members $120K non-members 7.7mm 2 chips $25K AIM members $30K non-members PASSIVE 51mm2 chips $30K AIM members $36K non-members INTERPOSER 156mm2 $93.6K AIM members $112.3K non-members 13

12 With permission from John E. Bowers III-V Laser Growth on Silicon Polarity, lattice & thermal expansion mismatch between silicon and III-Vs result in high dislocation densities High thresholds (or no lasing), and poor reliability for QW lasers Approach: Quantum dots Dangling bond/ threading dislocation Bulk GaAs: a=0.565 nm GaAs Bulk Si: a=0.543 nm Si substrate Silicon substrate SCH QDs SCH

13 Epitaxial QD Approach First electrically pumped CW laser monolithically grown on foundry compatible (001) silicon, without Ge Thresholds down to 0.6 ma Output power up to 110 mw CW lasing up to 90 o C, T 0 100K from o C Low cost monolithic light source for high volume silicon photonics 20 db reduced reflection sensitivity compared QWs Demonstrates potential for isolator-free integration 15

14 Manufacturing Center of Excellence Projects Test, Assembly and Optical Packaging (TAP) Chip Scale Packaging Rochester Packaging Facility Development Functional Testing Development for Automated Scaled Manufacturing High Density Fiber Connectivity OPCB & Polymer WG Connectivity AIM Photonics Manufacturing at ON Semiconductor in Rochester, NY 16

15 AIM Summer Academy 2017

16 Thank You

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

AIM Photonics Overview Roger Helkey Associate Director, West Coast Hub

AIM Photonics Overview Roger Helkey Associate Director, West Coast Hub AIM Photonics Overview Roger Helkey Associate Director, West Coast Hub 1 Explosive Growth In Datacenter Traffic Global data center traffic to triple and reach a total of 7.7 zettabytes annually by 2017-25%

More information

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway AIM Photonics Silicon Photonics PDK Overview March 22, 2017 Brett Attaway Silicon Photonics Process Design Kits (PDK) PDK 3 technologies, 2 major releases/year Full (active)- v1.0 available now Passive-

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 September 19, 2017 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center

More information

PIC design across platforms. Ronald Broeke Bright Photonics

PIC design across platforms. Ronald Broeke Bright Photonics PIC design across platforms Ronald Broeke Bright Photonics OUTLINE Introduction PIC applications & designs MPW Materials & platforms Design modules PICs in Phoxtrot Design House for Photonics ICs Custom

More information

Kotura Analysis: WDM PICs improve cost over LR4

Kotura Analysis: WDM PICs improve cost over LR4 Kotura Analysis: WDM PICs improve cost over LR4 IEEE P802.3bm - 40 Gb/s & 100 Gb/s Fiber Optic Task Force Sept 2012 Contributors: Mehdi Asghari, Kotura Samir Desai, Kotura Arlon Martin, Kotura Recall the

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001 2000 Technology Roadmap Optoelectronics John Stafford, Motorola January 17, 2001 Optoelectronic Roadmap Agenda Optoelectronics Market Overview Optical Communications Roadmap Optical Communications Technology

More information

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies Organics in Photonics: Opportunities & Challenges Louay Eldada DuPont Photonics Technologies Market Drivers for Organic Photonics Telecom Application Product Examples Requirements What Organics Offer Dynamic

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland. Packaging and Integration Technologies for Silicon Photonics Dr. Peter O Brien, Tyndall National Institute, Ireland. Opportunities for Silicon Photonics Stress Sensors Active Optical Cable 300 mm Silicon

More information

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 From Technologies to Market Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 2016 CONTENT Silicon Photonics value proposition

More information

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group Imaging, BiCMOS ASIC and Silicon Photonics Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group IBP Leading Position Targets 2 Image Sensors Solutions

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

Introduction to Integrated Photonic Devices

Introduction to Integrated Photonic Devices Introduction to Integrated Photonic Devices Class: Integrated Photonic Devices Time: Wed. 1:10pm ~ 3:00pm. Fri. 10:10am ~ 11:00am Classroom: 資電 106 Lecturer: Prof. 李明昌 (Ming-Chang Lee) Block Diagram of

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches

Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches Co-Organizer/Presider/Session Chair: Dr. Ioannis Tomkos Networks and Optical Communications

More information

VCSEL Technology and Digital

VCSEL Technology and Digital VCSEL Technology and Digital Applications Marco Ghisoni Zarlink Semiconductor AB marco.ghisoni@zarlink.com Outline Introduction Today's Digital Applications Mass market Parallel optical modules Future

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Intel Silicon Photonics: from Research to Product

Intel Silicon Photonics: from Research to Product IEEE Components, Packaging and Manufacturing Technology Chapter, Santa Clara Valley 3/8/2017 Intel Silicon Photonics: from Research to Product MARCH 8, 2017 Ling Liao Principal Engineer Silicon Photonics

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

Integrated Optical Devices

Integrated Optical Devices Integrated Optical Devices May 2018 Integrated Optical Devices 2017 a good year for Silicon Photonics, a fantastic year for integrated InP and GaAs optics Source: Luxtera with text added by LightCounting

More information

Next Generation Transceivers: The Roadmap Component Driver Contributions from Roadmap team. Dominic O Brien Mike Schabel

Next Generation Transceivers: The Roadmap Component Driver Contributions from Roadmap team. Dominic O Brien Mike Schabel Next Generation Transceivers: The Roadmap Component Driver Contributions from Roadmap team Dominic O Brien Mike Schabel Outline New markets Key challenges Potential evolution Recommendations Fibre to the

More information

WHITE PAPER. Photonic Integration

WHITE PAPER. Photonic Integration WHITE PAPER Photonic Integration In the world of microprocessors, we have seen tremendous increases in computational power with simultaneous decreases in cost and power consumption resulting from integration

More information

Silicon Photonics PDK Development

Silicon Photonics PDK Development Hewlett Packard Labs Silicon Photonics PDK Development M. Ashkan Seyedi Large-Scale Integrated Photonics Hewlett Packard Labs, Palo Alto, CA ashkan.seyedi@hpe.com Outline Motivation of Silicon Photonics

More information

SEMICONDUCTOR. fab equipment

SEMICONDUCTOR. fab equipment SEMICONDUCTOR fab equipment BEFORE, GROWTH IN THE ELECTRONICS MARKET WAS DRIVEN BY THE INCREASING USE OF COMPUTERS Silicon-based microprocessor 6 TODAY, IT IS BEING LED BY THREE MAIN FACTORS MOBILE COMMUNICATIONS

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena SOI at the heart of the silicon photonics design Arnaud Rigny, Business Development Manager Semicon Europa, TechArena Outline 1 Market demand for optical interconnect 2 Silicon on Insulator for optical

More information

2018 Project Focus of IPSR. Dr. Robert C. Pfahl Director of Roadmapping March 12, 2018 OFC

2018 Project Focus of IPSR. Dr. Robert C. Pfahl Director of Roadmapping March 12, 2018 OFC 2018 Project Focus of IPSR Dr. Robert C. Pfahl Director of Roadmapping March 12, 2018 OFC Sponsors & Participants Sponsor Lead Participants 1 Goals and Objectives of IPSR Goals Create A Self-Sustaining

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

B. Riley & Co. Annual Investor Conference. May 25, 2017

B. Riley & Co. Annual Investor Conference. May 25, 2017 B. Riley & Co. Annual Investor Conference May 25, 2017 Forward Looking Statement and Financial Presentation This presentation contains forward-looking statements within the meaning of Section 27A of the

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

Exascale challenges. June 27, Ecole Polytechnique Palaiseau France

Exascale challenges. June 27, Ecole Polytechnique Palaiseau France Exascale challenges June 27,28 2012 Ecole Polytechnique Palaiseau France patrick.demichel@hp.com HP Labs around the world Beijing Tokyo Palo Alto Bristol St. Petersburg Bangalore 7 locations 600 researchers

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

Safe Harbor Statement

Safe Harbor Statement May 2017 1 Safe Harbor Statement Matters discussed in this presentation may contain forward-looking statements that are subject to risks and uncertainties. These risks and uncertainties could cause the

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

Scalable Computing Systems with Optically Enabled Data Movement

Scalable Computing Systems with Optically Enabled Data Movement Scalable Computing Systems with Optically Enabled Data Movement Keren Bergman Lightwave Research Laboratory, Columbia University Rev PA1 2 Computation to Communications Bound Computing platforms with increased

More information

High-bandwidth CX4 optical connector

High-bandwidth CX4 optical connector High-bandwidth CX4 optical connector Dubravko I. Babić, Avner Badihi, Sylvie Rockman XLoom Communications, 11 Derech Hashalom, Tel-Aviv, Israel 67892 Abstract We report on the development of a 20-GBaud

More information

WHITE PAPER. Photonic Integration

WHITE PAPER. Photonic Integration WHITE PAPER Photonic Integration In the world of microprocessors, we have seen tremendous increases in computational power with simultaneous decreases in cost and power consumption resulting from integration

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

Driving the future of datacenters

Driving the future of datacenters Driving the future of datacenters Making Fast Faster Product Catalog Power and performance for optimized mega-datacenters of the future Company Profile ColorChip brings high speed data transmission to

More information

OEpic s Business Presentation

OEpic s Business Presentation OEpic s Business Presentation 10-40Gb/s InP OEICs OEpic, Inc. Sunnyvale, California June, 2001 OEpic s Vision Advanced EO / OE Integration Integrate the Best of Optical Components With Millimeter Wave

More information

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Tsung-Ching Jim Huang, PhD Sr. Research Scientist, Hewlett Packard Labs MEPTEC2018 Outline Introduction Modeling and design needs for flexible

More information

The MIT Communications Technology Roadmap Program IPI TWG Report

The MIT Communications Technology Roadmap Program IPI TWG Report The MIT Communications Technology Roadmap Program IPI TWG Report May 19, 2006 Louay Eldada Integration, Packaging & Interconnection Technology Working Group CTO, VP Engineering DuPont Photonics Chair,

More information

PLANAR LIGHTWAVE CIRCUITS FOR USE IN ADVANCED OPTICAL INSTRUMENTATION

PLANAR LIGHTWAVE CIRCUITS FOR USE IN ADVANCED OPTICAL INSTRUMENTATION PLANAR LIGHTWAVE CIRCUITS FOR USE IN ADVANCED OPTICAL INSTRUMENTATION AN ENABLENCE ARTICLE WRITTEN BY DR. MATT PEARSON, VP TECHNOLOGY & ASHOK BALAKRISHNAN, DIRECTOR OF PRODUCT DEVELOPMENT PUBLISHED IN

More information

1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber

1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber 1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber, Berlin, Germany J.-R. Kropp, N. Ledentsov, J. Lott, H. Quast Outline 1. Feasibility of components for 4x25G and 1x40G solutions for

More information

Virtuoso - Enabled EPDA framework AIM SUNY Process

Virtuoso - Enabled EPDA framework AIM SUNY Process Virtuoso - Enabled EPDA framework AIM SUNY Process CADENCE, LUMERICAL, PHOENIX SOFTWARE Driven by our customers Cadence is the leader with Virtuoso custom design platform for electronics custom and mixed

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Open access to photonic integration technologies

Open access to photonic integration technologies Open access to photonic integration technologies Academic and Industrial examples of photonic integrated circuits Katarzyna Ławniczuk k.lawniczuk@tue.nl What is photonic integration technology? multiple

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016 On Board Optical Interconnection A Joint Development Project Consortium Terry Smith & John MacWilliams October 31, 2016 Presentation Outline Executive Summary Issues in Board-Level Optical Interconnect

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Solving Integration Challenges for Flexible Hybrid Electronics. High performance flexible electronics

Solving Integration Challenges for Flexible Hybrid Electronics. High performance flexible electronics Solving Integration Challenges for Flexible Hybrid Electronics High performance flexible electronics Wearable Sensor System Configurations 2 Wearable Hybrid System Sensor Signal Processing Data Processing

More information

SEMI 大半导体产业网 MEMS Packaging Technology Trend

SEMI 大半导体产业网  MEMS Packaging Technology Trend MEMS Packaging Technology Trend Authors Name: KC Yee Company Name: ASE Group Present Date:9/9/2010 1 Overview Market Trend Packaging Technology Trend Summary 2 2 MEMS Applications Across 4C Automotive

More information

RSoft Product Applications

RSoft Product Applications RSoft Product Applications Complete design solutions for photonic components, circuits and systems synopsys.com/optical-solutions/rsoft RSoft Photonic Design Software Applications Synopsys RSoft products

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

High Speed Optical Link Based on Integrated Silicon Photonics

High Speed Optical Link Based on Integrated Silicon Photonics High Speed Optical Link Based on Integrated Silicon Photonics Dr. Haisheng Rong Photonics Research Lab Intel Corporation www.intel.com/go/sp PKU, Summer School July 04, 2012 Agenda Motivation Electronic

More information

Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques

Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques Silicon Photonics System Integration by Ultra High Precision Photonic Packaging Techniques Dr. Henning Schröder, Fraunhofer IZM Dr. Henning Schröder Fraunhofer IZM, Berlin fon: ++49 30 46403-277, fax:

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies Using ASIC circuits What is this machine? ASIC examples ASIC types and selection ASIC ASIC purchasing Trends in IC technologies 9.3.2004 Turo Piila 1 9.3.2004 Turo Piila 2 What is ASIC Floorplan and layout

More information

Integrated Photonics Grand Challenges and Key Needs for 2018

Integrated Photonics Grand Challenges and Key Needs for 2018 Integrated Photonics Grand Challenges and Key Needs for 2018 Lionel C. Kimerling MIT AIM Photonics Institute IPSR-International Webinar: December 21, 2017 Data Centers 3D/Augmented Reality/Automotive 5G/IoT

More information

Hybrid Integration of a Semiconductor Optical Amplifier for High Throughput Optical Packet Switched Interconnection Networks

Hybrid Integration of a Semiconductor Optical Amplifier for High Throughput Optical Packet Switched Interconnection Networks Hybrid Integration of a Semiconductor Optical Amplifier for High Throughput Optical Packet Switched Interconnection Networks Odile Liboiron-Ladouceur* and Keren Bergman Columbia University, 500 West 120

More information

New generation integrated photonic systems-on-chip enabling Tb/scapacity

New generation integrated photonic systems-on-chip enabling Tb/scapacity New generation integrated photonic systems-on-chip enabling Tb/scapacity Photonic Routers (Invited) Leontios Stampoulidis, Efstratios Kehayas, Panagiotis Zakynthinos, Dimitrios Apostolopoulos, Dimitrios

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt IBM Research PCB Overview Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt November, 2009 November, 2009 2009 IBM

More information

Brief Background in Fiber Optics

Brief Background in Fiber Optics The Future of Photonics in Upcoming Processors ECE 4750 Fall 08 Brief Background in Fiber Optics Light can travel down an optical fiber if it is completely confined Determined by Snells Law Various modes

More information

AXT Presentation. Morris S. Young Chief Executive Officer. Raymond Low Chief Financial Officer

AXT Presentation. Morris S. Young Chief Executive Officer. Raymond Low Chief Financial Officer AXT Presentation Morris S. Young Chief Executive Officer Raymond Low Chief Financial Officer May 2013 Safe Harbor Statement This presentation contains forward-looking information about the Company s business

More information

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx High Capacity and High Performance 20nm FPGAs Steve Young, Dinesh Gaitonde August 2014 Not a Complete Product Overview Page 2 Outline Page 3 Petabytes per month Increasing Bandwidth Global IP Traffic Growth

More information

How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck

How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck BE FIRST IN THE DATA-DRIVEN RACE How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck Hyperscale datacenter is all about ramping up quickly, gracefully and cost-effectively. So, it s ironic

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects This work was supported in part by DARPA under contract HR0011-08-9-0001. The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter

More information

Compact visible laser modules. QD laser, Inc.

Compact visible laser modules. QD laser, Inc. Compact visible laser modules and NIR DFBs with high speed modulation October, 2012 QD laser, Inc. DC0301-02 Contents About QD Laser, Inc. NIR DFB laser Introduction of semiconductor DFB laser Applications

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

MONOLITHIC NEAR INFRARED IMAGE SENSORS ENABLED BY QUANTUM DOT PHOTODETECTOR

MONOLITHIC NEAR INFRARED IMAGE SENSORS ENABLED BY QUANTUM DOT PHOTODETECTOR MONOLITHIC NEAR INFRARED IMAGE SENSORS ENABLED BY QUANTUM DOT PHOTODETECTOR PAWEŁ E. MALINOWSKI, E. GEORGITZIKIS, J. MAES, M. MAMUN, O. ENZING, F. FRAZZICA, J.VAN OLMEN, P. DE MOOR, P. HEREMANS, Z. HENS,

More information

Integrated Micro and Nano Photonic Systems for Peta scale Networking

Integrated Micro and Nano Photonic Systems for Peta scale Networking Integrated Micro and Nano Photonic Systems for Peta scale Networking Prof. S. J. Ben Yoo, UC Davis Campus CITRIS Director yoo@ece.ucdavis.edu http://sierra.ece.ucdavis.edu http://citris.ucdavis.edu Tokyo,

More information

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017 3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE OVERAL GOAL OF THIS TALK Hybrid bonding 3D sequential 3D VLSI technologies (3D VIA Pitch

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

New Silicon Frontiers: Physically Flexible System-on-a-Chip

New Silicon Frontiers: Physically Flexible System-on-a-Chip New Silicon Frontiers: Physically Flexible System-on-a-Chip Richard L. Chaney, Douglas R. Hackler, Kelly J. DeGregorio, Dale G. Wilson This work sponsored in part by the Rapid Response Technology Office

More information

A Fork in the Road OM5 vs. Single-Mode in the Data Center. Gary Bernstein, Sr. Director, Product Management, Network Solutions

A Fork in the Road OM5 vs. Single-Mode in the Data Center. Gary Bernstein, Sr. Director, Product Management, Network Solutions A Fork in the Road OM5 vs. Single-Mode in the Data Center Gary Bernstein, Sr. Director, Product Management, Network Solutions Outline Definition of Enterprise and Cloud Data Centers The Growth of Cloud

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Active Optical Cables Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Supplier of Mixed Signal Products Supplier of Mixed Signal Communication Semiconductors, public $230M Medical Communications

More information

Lumentum Overview. Alan Lowe President and CEO. November 18, 2015

Lumentum Overview. Alan Lowe President and CEO. November 18, 2015 Lumentum Overview Alan Lowe President and CEO November 18, 2015 Forward Looking Statement and Financial Presentation This presentation contains forward-looking statements within the meaning of Section

More information

170 Index. Delta networks, DENS methodology

170 Index. Delta networks, DENS methodology Index A ACK messages, 99 adaptive timeout algorithm, 109 format and semantics, 107 pending packets, 105 piggybacking, 107 schematic represenation, 105 source adapter, 108 ACK overhead, 107 109, 112 Active

More information

CMOS Photonic Processor-Memory Networks

CMOS Photonic Processor-Memory Networks CMOS Photonic Processor-Memory Networks Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Acknowledgments Krste Asanović, Rajeev Ram, Franz Kaertner, Judy Hoyt, Henry Smith,

More information

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Manycore SOC roadmap fuels bandwidth demand

More information

The Evolution of Optical Transport Networks

The Evolution of Optical Transport Networks The Evolution of Optical Transport Networks Rod C. Alferness Chief Technology Officer - Optical Networking Group Lucent Technologies SPARTAN Symposium - 5/20/98 Page 1 Network Architecture Dynamics...

More information

High Performance Mixed-Signal Solutions from Aeroflex

High Performance Mixed-Signal Solutions from Aeroflex High Performance Mixed-Signal Solutions from Aeroflex We Connect the REAL World to the Digital World Solution-Minded Performance-Driven Customer-Focused Aeroflex (NASDAQ:ARXX) Corporate Overview Diversified

More information

INTRODUCTIONS. Dr. Vincent D. (Chuck) Mattera, Jr President and CEO. Mary Jane Raymond Chief Financial Officer

INTRODUCTIONS. Dr. Vincent D. (Chuck) Mattera, Jr President and CEO. Mary Jane Raymond Chief Financial Officer November 2017 INTRODUCTIONS Company: II-VI Incorporated Presenting: Dr. Vincent D. (Chuck) Mattera, Jr President and CEO Mary Jane Raymond Chief Financial Officer 2 Safe Harbor Statement Matters discussed

More information

UBCx Phot1x: Silicon Photonics Design, Fabrication and Data Analysis

UBCx Phot1x: Silicon Photonics Design, Fabrication and Data Analysis UBCx Phot1x: Silicon Photonics Design, Fabrication and Data Analysis Course Syllabus Table of Contents Course Syllabus 1 Course Overview 1 Course Learning Objective 1 Course Philosophy 1 Course Details

More information

SRC 3D Summit. Bob Patti, CTO

SRC 3D Summit. Bob Patti, CTO SRC 3D Summit Bob Patti, CTO rpatti@tezzaron.com 1 Advantages Why We Scale? What can 3D do for us? Speed Power Cost Size >180nm 130nm 90nm 65nm 45nm 28nm 22nm 16nm 2 How Real is 3D??? 560μ Samsung 16Gb

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Optical Interconnects: Trend and Applications

Optical Interconnects: Trend and Applications Optical Interconnects: Trend and Applications Yi-Jen Chan EOL, ITRI Wireless & Optical Communications conference 2008 April 23, 2008 OUTLINE Background and Motivation Trends of Optical Interconnects Technology

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

High Versatility High Throughput Functional Testing. Robert Polster, David Calhoun, Keren Bergman

High Versatility High Throughput Functional Testing. Robert Polster, David Calhoun, Keren Bergman High Versatility High Throughput Functional Testing Robert Polster, David Calhoun, Keren Bergman Challenges of High-Throughput Functional Testing for Scalable Manufacturing Numerous Functional Applications

More information