Hardware Design and Simulation for Verification

Size: px
Start display at page:

Download "Hardware Design and Simulation for Verification"

Transcription

1 Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture Notes in Computer Science 3965, 2006, Springer) This paper is intended to provide a general view on simulation-based modeling and verification strategies for developing embedded systems. In particular, it describes state-of-the art co-simulation approaches and verification strategies based on fault simulation and assertion checking.

2 Embedded system design (1) In a classical design modeling flow, system level is refined by applying the transactional level modeling (TLM) style. A TLM-based design flow starts from an abstract system description and evolves toward more detailed implementations till it gets to RTL.

3 Embedded system design (2) Verification activity involves three main phases: The design implemented at the higher abstraction level is validated considering the system functionality. Once the design is optimized following architecture exploration and performance analysis, it is validated taking into account the temporal behavior. Whenever a step of the refinement flow implies a change in the system design, a further verification check is required in order to preserve the golden model functionality ascertained at the preceding step.

4 Embedded system design flow (1)

5 Embedded system design flow (2)

6 TLM levels use and features

7 Key Concepts in TLM 1. To implement a system at higher level means to implement the system in a more abstract way, that is to leave implementation details in order (mainly) to speed-up simulation for functional verification purposes. 2. To implement a system at lower level means to add implementation details to the system in order to simulate it in a more accurate way (for performance analysis purpose).

8 Typical TLM-based SoC design flow (1) System modeling (TL3). Informal specification and system constraints are analyzed to provide a system level model of the design. HW/SW partitioning and architecture mapping (TL2, TL1). The system level description is then mapped onto an architecture to obtain a transactional level model. This requires to decide which tasks will be implemented by SW and which ones by HW.

9 Typical TLM-based SoC design flow (2) In HW-SW Partitioning, the designers must select: programmable device where the SW will run; memory model; HW/SW communication architecture and bus topology; HW technology (ASIC, FPGA, etc.) where HW tasks will be mapped. SW coding. After partitioning, SW and HW parts follow a different design flow. In particular, SW tasks are implemented by using a programming language SW compilation. After the coding, the SW is compiled to object code.

10 Typical TLM-based SoC design flow (3) Interface definition. Splitting the design tasks in HW components and pieces of SW introduces the need for an interface between the two parts that, often, is not specified in the initial requirements. HDL modeling and HW partitioning. The HW model generated at the transactional level must be refined and optimized by executing different synthesis steps to obtain a gate-level description.

11 Typical TLM-based SoC design flow (4) Behavioral synthesis and IP reuse. The functional/behavioral model of each HW component is further refined into a Register Transfer Level (RTL) model by means of behavioral synthesis. Logic Synthesis. Finally, logic synthesis is used to translate the RTL model to a gate-level model.

12 Design Simulation Dynamic verification faces the correctness of a design by means of simulation based techniques. In dynamic verification the model functionality is essentially verified by generating a high number of input stimuli (test set) that are simulated to observe the behavior of the design under verification (DUV) at primary outputs. The test set generated at a specific abstraction level can be re-used (and possibly incremented) at the lower levels after each synthesis step up to manufacturing test.

13 Design Simulation Traditional simulation-based approaches can be adopted to verify the whole embedded system before HW/SW partitioning, as well as, HW and SW components separately after HW/SW partitioning. However, the most challenging task is to perform co-verification between HW and SW components. The integration and the synchronization of HW and SW modules requires a permanent control of consistency and correctness that can be efficiently achieved only by exploiting a co-simulation environment.

14 Co-simulation environments (1) Homogeneous Environments. Homogeneous environments use a single engine for the simulation of both HW and SW components

15 Co-simulation environments (2) Heterogeneous environments ensure a more accurate tuning between HW and SW components.

16 Co-simulation environments (3) Most of the heterogeneous frameworks essentially address the same problem: how to efficiently link an event-driven hardware simulator and a cycle-based Instruction Set Simulator (ISS). An ISS provides an accurate simulation of a programmable device allowing to verify SW before hardware is available.

17 Co-simulation environments (4) Semi-Homogeneous Environments. The advent of design flows based on SystemC allowed the definition of efficient semi-homogeneous approaches. In these semi-homogeneous approaches, the bus is not modeled at signal level. Rather, the bus is usually modeled with a small number of simple functions which provide information on the time required by the communication, without the need to evaluate each signal.

18 Co-simulation environments Semi-homogeneous approaches are homogeneous from the language point of view, since both HW and SW are described using C++ constructs. However, these semi-homogeneous approaches are heterogeneous from the simulation point of view, since HW and SW can be executed using different simulators: the SystemC simulation kernel for the HW components and an ISS for the SW programs.

19 Semi-homogeneous environment based on SystemC and ISS

20 Semi-homogeneous environment based on SystemC and ISS Interprocess communication (IPC). IPC is a software mechanism to allow different processes to communicate, even on different computers. It is used to realize the communication between the ISS, where SW runs in its binary form, and the SystemC simulator, that models HW. Bus wrapper. A wrapper is a SW layer which allows an existing piece of software to interact with an environment that is different from its originally intended one. The bus wrapper allows the use of a high level model of the bus within an environment where the SW model is cycle-accurate and the HW is modeled at a signal-accurate level of abstraction.

21 Simulation for Verification Simulation techniques for embedded systems verification are classified in two main categories: Simulation by testbenches. Assertion-based verification.

22 Simulation by testbenches Simulation techniques based on testbenches essentially validate the model functionality by dynamically generating a high number on input stimuli (test set) that are simulated to observe the behavior of the design under verification (DUV) at primary outputs. What we need to perform such a dynamic verification is: a simulatable model of the design, a simulator, a testbench to apply stimuli to the primary input of the design, and a method to establish the correctness of the design with respect to the results of the simulation.

23 Simulation by testbenches Generally, the stimuli generator and the simulation engine are integrated in a single SW application, called automatic test pattern generator (ATPG). Two kinds of dynamic verification: Logic Simulation and Fault Simulation.

24 Logic Simulation Logic simulation. In logic simulation, the quality of the set of stimuli is measured by using code coverage. This is a class of metrics that has been used in software engineering for quite some time to analyze whether test suites cover the required functionality. The most popular metrics adopted in logic simulation are: statement coverage, condition coverage and path coverage.

25 Fault Simulation Fault simulation consists of simulating a design in presence of logical faults, which emulate the effect of physical faults on the behavior of a system description. Comparing the fault simulation results with those of the fault-free simulation of the same design, simulated by using the same test set, we can determine the fault coverage as the ratio between the number of faults detected by the test set and the number of simulated faults.

26 Assertion Based Verification Functional verification based on assertions represents a valuable alternative to fault simulation. Assertion-based verification (ABV) joins formal verification and simulation based verification to provide a more powerful and easy way to verify complex digital systems.

27 Fault simulation and ABV Fault simulation and ABV are generally faster than formal verification techniques. This motivates the recent trend of proposing design and verification methodologies based on TLM, simulation and ABV. Reuse of IP-cores, testbenches and properties in a mixed TL-RTL design and verification flow

28 Mixed TL-RTL design and verification flow

29 Role of Transactor in TBV

30 Role of Transactor in TBV Transactor is exploited to reuse TL testbenches and assertions on the RTL design. The testbench carries out one transaction at time, composed by two TL function calls (write() and read()). First, data are provided to the RTL design by means of write(addr, data). The transactor converts the write() call to the RTL protocol-dependent sequence of signals required to drive control and data inputs of the design under verification.

31 Role of Transactor in TBV Moreover, the write status is reported to the testbench to notify about successes or errors. Then, the testbench asks for the DUV result by calling read(addr, &res). The transactor waits until the DUV result is ready by monitoring the output control ports, and, finally, after it gets the output data, testbench carries on with the next transaction.

Hardware in the Loop Functional Verification Methodology

Hardware in the Loop Functional Verification Methodology OMG's Third Software-Based Communications Workshop: Realizing the Vision Hardware in the Loop Functional Verification Methodology by Pascal Giard Jean-François Boland, Jean Belzile M.Ing. Student École

More information

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms hoosing IP-XAT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms Emmanuel Vaumorin (Magillem Design Services) Motivation New needs

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

CoFluent Design FPGA. SoC FPGA. Embedded. Systems. HW/SW

CoFluent Design FPGA. SoC FPGA. Embedded. Systems.  HW/SW CoFluent Design www.cofluentdesign.com Embedded HW/SW Systems SW SoC FPGA FPGA Integration Systems & Verification of GreenSocs Models in a CoFluent Testbench jerome.lemaitre@cofluentdesign.com NASCUG IX,

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano Modeling and Simulation of System-on on-chip Platorms Donatella Sciuto 10/01/2007 Politecnico di Milano Dipartimento di Elettronica e Informazione Piazza Leonardo da Vinci 32, 20131, Milano Key SoC Market

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language

MoCC - Models of Computation and Communication SystemC as an Heterogeneous System Specification Language SystemC as an Heterogeneous System Specification Language Eugenio Villar Fernando Herrera University of Cantabria Challenges Massive concurrency Complexity PCB MPSoC with NoC Nanoelectronics Challenges

More information

An introduction to CoCentric

An introduction to CoCentric A Hand-Out 1 An introduction to CoCentric Las Palmas de G. C., Spain Jun, 27 th, 2002 Agenda 2 System-level SoC design What is SystemC? CoCentric System Studio SystemC based designs verification CoCentric

More information

SoC Verification Strategies for Embedded Systems Design

SoC Verification Strategies for Embedded Systems Design SOC Design Conference SoC Verification Strategies for Embedded Systems Design November 5-6, 2003/ Seoul Chong-Min Kyung, KAIST 1 Various Embedded Mobile Systems Data Processing Consumer Desktop PC DVC

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

Hardware Software Codesign of Embedded System

Hardware Software Codesign of Embedded System Hardware Software Codesign of Embedded System CPSC489-501 Rabi Mahapatra Mahapatra - Texas A&M - Fall 00 1 Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

ON THE REUSE OF RTL ASSERTIONS IN SYSTEMC TLM VERIFICATION

ON THE REUSE OF RTL ASSERTIONS IN SYSTEMC TLM VERIFICATION ON THE REUSE OF RTL ASSERTIONS IN SYSTEMC TLM VERIFICATION Nicola Bombieri 1,2 Franco Fummi 1,2, Graziano Pravadelli 1,2, Valerio Garnieri 1, Francesco Stefanni 1, Tara Ghasempouri 2, Michele Lora 2, Giovanni

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

Mixed Signal Verification Transistor to SoC

Mixed Signal Verification Transistor to SoC Mixed Signal Verification Transistor to SoC Martin Vlach Chief Technologist AMS July 2014 Agenda AMS Verification Landscape Verification vs. Design Issues in AMS Verification Modeling Summary 2 AMS VERIFICATION

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Abstraction Layers for Hardware Design

Abstraction Layers for Hardware Design SYSTEMC Slide -1 - Abstraction Layers for Hardware Design TRANSACTION-LEVEL MODELS (TLM) TLMs have a common feature: they implement communication among processes via function calls! Slide -2 - Abstraction

More information

Hardware Software Codesign of Embedded Systems

Hardware Software Codesign of Embedded Systems Hardware Software Codesign of Embedded Systems Rabi Mahapatra Texas A&M University Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on Codesign of Embedded System

More information

Post processing techniques to accelerate assertion development Ajay Sharma

Post processing techniques to accelerate assertion development Ajay Sharma Post processing techniques to accelerate assertion development Ajay Sharma 2014 Synopsys, Inc. All rights reserved. 1 Agenda Introduction to Assertions Traditional flow for using ABV in Simulations/Emulation/Prototyping

More information

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien Hardware Modelling Design Flow Overview ECS Group, TU Wien 1 Outline Difference: Hardware vs. Software Design Flow Steps Specification Realisation Verification FPGA Design Flow 2 Hardware vs. Software:

More information

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems

FPGA-Based Rapid Prototyping of Digital Signal Processing Systems FPGA-Based Rapid Prototyping of Digital Signal Processing Systems Kevin Banovic, Mohammed A. S. Khalid, and Esam Abdel-Raheem Presented By Kevin Banovic July 29, 2005 To be presented at the 48 th Midwest

More information

Efficient use of Virtual Prototypes in HW/SW Development and Verification

Efficient use of Virtual Prototypes in HW/SW Development and Verification Efficient use of Virtual Prototypes in HW/SW Development and Verification Rocco Jonack, MINRES Technologies GmbH Eyck Jentzsch, MINRES Technologies GmbH Accellera Systems Initiative 1 Virtual prototype

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver The MITRE Corporation Approved for public release. Distribution unlimited. Case #07-0782 Contract No.

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

Digital Systems Testing

Digital Systems Testing Digital Systems Testing Verilog HDL for Design and Test Moslem Amiri, Václav Přenosil Embedded Systems Laboratory Faculty of Informatics, Masaryk University Brno, Czech Republic amiri@mail.muni.cz prenosil@fi.muni.cz

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Functional verification on PIL mode with IAR Embedded Workbench

Functional verification on PIL mode with IAR Embedded Workbench by Cristina Marconcini, STM CASE s.r.l. Functional verification on PIL mode with IAR Embedded Workbench The increase of complexity of embedded system components combined with time-to-market constraints

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network By Alberto Puggelli Outline SLD challenges Platform Based Design (PBD) Case study: Wireless Sensor Network Leveraging state of the art CAD Metropolis Case study: JPEG Encoder SLD Challenge Establish a

More information

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Codesign Framework Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web. Embedded Processor Types General Purpose Expensive, requires

More information

SpecC Methodology for High-Level Modeling

SpecC Methodology for High-Level Modeling EDP 2002 9 th IEEE/DATC Electronic Design Processes Workshop SpecC Methodology for High-Level Modeling Rainer Dömer Daniel D. Gajski Andreas Gerstlauer Center for Embedded Computer Systems Universitiy

More information

SoC Modeling. What Is Modeling. Advantages Of Modeling. architecture

SoC Modeling. What Is Modeling. Advantages Of Modeling. architecture SoC Modeling Ming-Hwa Wang, Ph.D. COEN 207 SoC (System-on-Chip) Verification Department of Computer Engineering Santa Clara University Topics advantages of modeling what is modeling cost of modeling languages

More information

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I

ECE 587 Hardware/Software Co-Design Lecture 11 Verification I ECE 587 Hardware/Software Co-Design Spring 2018 1/23 ECE 587 Hardware/Software Co-Design Lecture 11 Verification I Professor Jia Wang Department of Electrical and Computer Engineering Illinois Institute

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

Design Verification Lecture 01

Design Verification Lecture 01 M. Hsiao 1 Design Verification Lecture 01 Course Title: Verification of Digital Systems Professor: Michael Hsiao (355 Durham) Prerequisites: Digital Logic Design, C/C++ Programming, Data Structures, Computer

More information

An Integrated Design and Verification Methodology for Reconfigurable Multimedia Systems

An Integrated Design and Verification Methodology for Reconfigurable Multimedia Systems An Integrated Design and Verification Methodology for Reconfigurable Multimedia Systems M.Borgatti, A.Capello, U.Rossi J.-L.Lambert, I.Moussa F.Fummi, G.Pravadelli STMicroelectronics, Agrate, Italy TNI-Valysosys,

More information

ASIC world. Start Specification Design Verification Layout Validation Finish

ASIC world. Start Specification Design Verification Layout Validation Finish AMS Verification Agenda ASIC world ASIC Industrial Facts Why Verification? Verification Overview Functional Verification Formal Verification Analog Verification Mixed-Signal Verification DFT Verification

More information

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company. Appendix SystemC Product Briefs All product claims contained within are provided by the respective supplying company. Blue Pacific Computing BlueWave Blue Pacific s BlueWave is a simulation GUI, including

More information

Hardware-Software Codesign. 1. Introduction

Hardware-Software Codesign. 1. Introduction Hardware-Software Codesign 1. Introduction Lothar Thiele 1-1 Contents What is an Embedded System? Levels of Abstraction in Electronic System Design Typical Design Flow of Hardware-Software Systems 1-2

More information

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder OSCI Update Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder Chief Strategy Officer charter Ensure that OSCI strategy is created, coordinated, communicated & executed Identify OSCI technical

More information

ECE 587 Hardware/Software Co-Design Lecture 12 Verification II, System Modeling

ECE 587 Hardware/Software Co-Design Lecture 12 Verification II, System Modeling ECE 587 Hardware/Software Co-Design Spring 2018 1/20 ECE 587 Hardware/Software Co-Design Lecture 12 Verification II, System Modeling Professor Jia Wang Department of Electrical and Computer Engineering

More information

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext: SoC Verification Methodology Prof. Chien-Nan Liu TEL: 03-4227151 ext:4534 Email: jimmy@ee.ncu.edu.tw 1 Outline l Verification Overview l Verification Strategies l Tools for Verification l SoC Verification

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

A Design Methodology for the Exploitation of High Level Communication Synthesis

A Design Methodology for the Exploitation of High Level Communication Synthesis A Design Methodology for the Exploitation of High Level Communication Synthesis Francesco Bruschi, Politecnico di Milano, Italy Massimo Bombana, CEFRIEL, Italy Abstract In this paper we analyse some methodological

More information

Validation Strategies with pre-silicon platforms

Validation Strategies with pre-silicon platforms Validation Strategies with pre-silicon platforms Shantanu Ganguly Synopsys Inc April 10 2014 2014 Synopsys. All rights reserved. 1 Agenda Market Trends Emulation HW Considerations Emulation Scenarios Debug

More information

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17,

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17, Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms SAMOS XIV July 14-17, 2014 1 Outline Introduction + Motivation Design requirements for many-accelerator SoCs Design problems

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

Universal Verification Methodology(UVM)

Universal Verification Methodology(UVM) Universal Verification Methodology(UVM) A Powerful Methodology for Functional Verification of Digital Hardware Abstract - With the increasing adoption of UVM, there is a growing demand for guidelines and

More information

Embedded System Design and Modeling EE382V, Fall 2008

Embedded System Design and Modeling EE382V, Fall 2008 Embedded System Design and Modeling EE382V, Fall 2008 Lecture Notes 4 System Design Flow and Design Methodology Dates: Sep 16&18, 2008 Scribe: Mahesh Prabhu SpecC: Import Directive: This is different from

More information

QEMU and SystemC. Màrius Màrius Montón

QEMU and SystemC. Màrius Màrius Montón QEMU and SystemC March March 2011 2011 QUF'11 QUF'11 Grenoble Grenoble Màrius Màrius Montón Outline Introduction Objectives Virtual Platforms and SystemC Checkpointing for SystemC Conclusions 2 Introduction

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Virtual Hardware Prototyping through Timed Hardware-Software Co-simulation

Virtual Hardware Prototyping through Timed Hardware-Software Co-simulation Virtual Hardware Prototyping through Timed Hardware-Software Co-simulation Franco Fummi franco.fummi@univr.it Mirko Loghi loghi@sci.univr.it Stefano Martini martini@sci.univr.it Marco Monguzzi # monguzzi@sitek.it

More information

Key technologies for many core architectures

Key technologies for many core architectures Key technologies for many core architectures Thierry Collette CEA, LIST thierry.collette@c ea.fr 1 Embedded computing Silicon area offers perfo rmance Applications x 40 from 90 to 45 ns Computing performance

More information

System On Chip: Design & Modelling (SOC/DAM) 1 R: Verilog RTL Design with examples.

System On Chip: Design & Modelling (SOC/DAM) 1 R: Verilog RTL Design with examples. System On Chip: Design & Modelling (SOC/DAM) Exercises Here is the first set of exercises. These are intended to cover subject groups 1-4 of the SOC/DAM syllabus (R, SC, SD, ESL). These questions are styled

More information

Long Term Trends for Embedded System Design

Long Term Trends for Embedded System Design Long Term Trends for Embedded System Design Ahmed Amine JERRAYA Laboratoire TIMA, 46 Avenue Félix Viallet, 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr Abstract. An embedded system is an application

More information

width: 10, 20 or 40-bit interface maximum number of lanes in any direction

width: 10, 20 or 40-bit interface maximum number of lanes in any direction MIPI LLI Verification using Questa Verification IP by Vaibhav Gupta, Lead Member Technical Staff and Yogesh Chaudhary, Consulting Staff, Mentor Graphics This article describes how incorporating LLI Questa

More information

System On Chip: Design & Modelling (SOC/DAM)

System On Chip: Design & Modelling (SOC/DAM) System On Chip: Design & Modelling (SOC/DAM) Exercises Here is the second set of exercises. These are intended to cover subject groups 5-8 of the SOC/DAM syllabus (ABD, SFT, RD, E). These questions are

More information

Hardware-Software Codesign

Hardware-Software Codesign Hardware-Software Codesign 8. Performance Estimation Lothar Thiele 8-1 System Design specification system synthesis estimation -compilation intellectual prop. code instruction set HW-synthesis intellectual

More information

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning 1 The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning Tim Kogel European SystemC User Group Meeting, 12.10.2004 Outline 2 Transaction Level Modeling

More information

Development of Integrated Hard- and Software Systems: Tasks and Processes

Development of Integrated Hard- and Software Systems: Tasks and Processes TECHNISCHE UNIVERSITÄT ILMENAU Development of Integrated Hard- and Software Systems: Tasks and Processes Integrated Communication Systems http://www.tu-ilmenau.de/iks General Development Tasks Analysis

More information

Development of Integrated Hard- and Software Systems: Tasks and Processes

Development of Integrated Hard- and Software Systems: Tasks and Processes TECHNISCHE UNIVERSITÄT ILMENAU Development of Integrated Hard- and Software Systems: Tasks and Processes Integrated Hard- and Software Systems http://www.tu-ilmenau.de/ihs System Development Poor Process

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Verification of Power Management Protocols through Abstract Functional Modeling

Verification of Power Management Protocols through Abstract Functional Modeling Verification of Power Management Protocols through Abstract Functional Modeling G. Kamhi, T. Levy, Niranjan M, M. Mhameed, H. Rawlani, R. B. Rajput, E. Singerman, V. Vedula, Y. Zbar Motivation Microprocessor

More information

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements. Contemporary Design We have been talking about design process Let s now take next steps into examining in some detail Increasing complexities of contemporary systems Demand the use of increasingly powerful

More information

Metodologie di Progettazione Hardware e Software

Metodologie di Progettazione Hardware e Software POLITECNICO DI MILANO Metodologie di Progettazione Hardware e Software Reconfigurable Computing - Design Flow - Marco D. Santambrogio marco.santabrogio@polimi.it Outline 2 Retargetable Compiler Basic Idea

More information

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes FPGA designs are becoming too large to verify by visually checking waveforms, as the functionality

More information

An approach to accelerate UVM based verification environment

An approach to accelerate UVM based verification environment An approach to accelerate UVM based verification environment Sachish Dhar DWIVEDI/Ravi Prakash GUPTA Hardware Emulation and Verification Solutions ST Microelectronics Pvt Ltd Outline Challenges in SoC

More information

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience H. Krupnova CMG/FMVG, ST Microelectronics Grenoble, France Helena.Krupnova@st.com Abstract Today, having a fast hardware

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting Building a complex signal processing function requires a deep understanding of the signal characteristics

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information

FPGA-based Transaction-Level Verification Through De Facto Standard Interfaces

FPGA-based Transaction-Level Verification Through De Facto Standard Interfaces FPGA-based Transaction-Level Verification Through De Facto Standard Interfaces -- DVClub China Q4 -- Dec. 5, 2014 Ando Ki, Ph.D Dynalith Systems adki@dynalith.com / www.dynalith.com Table of Contents Background

More information

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

FPGA briefing Part II FPGA development DMW: FPGA development DMW: FPGA briefing Part II FPGA development FPGA development 1 FPGA development FPGA development : Domain level analysis (Level 3). System level design (Level 2). Module level design (Level 1). Academical focus

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

High Data Rate Fully Flexible SDR Modem

High Data Rate Fully Flexible SDR Modem High Data Rate Fully Flexible SDR Modem Advanced configurable architecture & development methodology KASPERSKI F., PIERRELEE O., DOTTO F., SARLOTTE M. THALES Communication 160 bd de Valmy, 92704 Colombes,

More information

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999

HW/SW Co-design. Design of Embedded Systems Jaap Hofstede Version 3, September 1999 HW/SW Co-design Design of Embedded Systems Jaap Hofstede Version 3, September 1999 Embedded system Embedded Systems is a computer system (combination of hardware and software) is part of a larger system

More information

UVM in System C based verification

UVM in System C based verification April, 2016 Test Experiences and Verification of implementing Solutions UVM in System C based verification Delivering Tailored Solutions for Hardware Verification and Software Testing EMPLOYEES TVS - Global

More information

On the Co-simulation of SystemC with QEMU and OVP Virtual Platforms

On the Co-simulation of SystemC with QEMU and OVP Virtual Platforms On the Co-simulation of SystemC with QEMU and OVP Virtual Platforms Alessandro Lonardi 1 and Graziano Pravadelli 1,2(B) 1 Department of Computer Science, University of Verona, Verona, Italy alessandro.lonardi@univr.it

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

Design Issues in Hardware/Software Co-Design

Design Issues in Hardware/Software Co-Design Volume-2, Issue-1, January-February, 2014, pp. 01-05, IASTER 2013 www.iaster.com, Online: 2347-6109, Print: 2348-0017 ABSTRACT Design Issues in Hardware/Software Co-Design R. Ganesh Sr. Asst. Professor,

More information

Qualification of Verification Environments Using Formal Techniques

Qualification of Verification Environments Using Formal Techniques Qualification of Verification Environments Using Formal Techniques Raik Brinkmann DVClub on Verification Qualification April 28 2014 www.onespin-solutions.com Copyright OneSpin Solutions 2014 Copyright

More information

Revolutioni W zi h Wn e hgn e n F a Mi i s liu lsir u e ro e Cri I ti s Ic N al o t V A e n ri n O fi p c ti a o ti n oo

Revolutioni W zi h Wn e hgn e n F a Mi i s liu lsir u e ro e Cri I ti s Ic N al o t V A e n ri n O fi p c ti a o ti n oo Formal Verification Revolutionizing Mission Critical Verification When Failure Is Not An Option Formal-based Security Verification www.onespin.com March 2016 HW Security Issues More Common Than Thought

More information

Intro to High Level Design with SystemC

Intro to High Level Design with SystemC Intro to High Level Design with SystemC Aim To introduce SystemC, and its associated Design Methodology Date 26th March 2001 Presented By Alan Fitch Designer Challenges Design complexity System on Chip

More information

EEL 5722C Field-Programmable Gate Array Design

EEL 5722C Field-Programmable Gate Array Design EEL 5722C Field-Programmable Gate Array Design Lecture 19: Hardware-Software Co-Simulation* Prof. Mingjie Lin * Rabi Mahapatra, CpSc489 1 How to cosimulate? How to simulate hardware components of a mixed

More information

Code Generation for QEMU-SystemC Cosimulation from SysML

Code Generation for QEMU-SystemC Cosimulation from SysML Code Generation for QEMU- Cosimulation from SysML Da He, Fabian Mischkalla, Wolfgang Mueller University of Paderborn/C-Lab, Fuerstenallee 11, 33102 Paderborn, Germany {dahe, fabianm, wolfgang}@c-lab.de

More information

Transaction Level Modeling for Model Checking

Transaction Level Modeling for Model Checking Transaction Level Modeling for Model Checking Wei-Cheng Chao A Thesis Submitted to Institute of Computer Science and Information Engineering College of Engineering National Chung Cheng University for the

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

System Planning Overcoming Gap Between Design at Electronic System Level (ESL) and Implementation

System Planning Overcoming Gap Between Design at Electronic System Level (ESL) and Implementation System Planning Overcoming Gap Between Design at Electronic System Level (ESL) and Implementation Horst Salzwedel, TU Ilmenau Presented at EDACentrum Workshop: System Planning Hannover, 30 November 2006

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

HW & SW co-verification of baseband HSPA Processor with Seamless PSP

HW & SW co-verification of baseband HSPA Processor with Seamless PSP HW & SW co-verification of baseband HSPA Processor with Seamless PSP Zheng Li, Xuedong Yang, Bing Wang, Zhitao Lu, Lawrence Yang, James Gualdoni, Jagan Raghavendran Steven Swanchara, William Hinkel, Scott

More information