Universität Dortmund. IO and Peripheral Interfaces

Size: px
Start display at page:

Download "Universität Dortmund. IO and Peripheral Interfaces"

Transcription

1 IO and Peripheral Interfaces

2 Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: Microprocessor 8,16,32 bit architecture Usually simple in-order microarchitecture, no FPU Memory RAM (from 512B to 256kB) FLASH (from 512B to 1MB) Peripherals DMA Timer Interfaces Digital Analog Interconnect AHB system bus (ARM-based MCUs) APB peripheral bus (ARM-based MCUs) Example: STM32F101 MCU

3 MCU Interfaces Digital Several protocols for inter-chip communication Serial communication protocols UART, I 2 C, SPI, USB, Meant for short distances inside the box / on-board Low complexity Low cost Low speed ( a few Mb/s at the fastest ) Serial communication is employed where it is not practical, either in physical or cost terms, to move data in parallel between systems.

4 MCU Interfaces Digital Several protocols for inter-chip communication Serial communication protocols UART, I 2 C, SPI, USB, Meant for short distances inside the box / on-board Low complexity Low cost Low speed ( a few Mb/s at the fastest ) Serial communication is employed where it is not practical, either in physical or cost terms, to move data in parallel between systems. Analog ADC (Analog-to-Digital Converter) DAC (Digital-to-Analog Converter) Comparator

5 Memory-Mapped Peripherals Peripherals such as external interfaces in a microcontroller system are accessible via memory-mapped registers: for peripheral configuration for I/O addresses Memory Map Cortex-M3 MCU core memory mapped load/store

6 Memory-Mapped Peripherals Suppose our purpose is to blink a LED (i.e., a GPIO pin): GPIOC_LED0_ADDR

7 Memory-Mapped Peripherals Suppose our purpose is to blink a LED (i.e., a GPIO pin): GPIOC_LED0_ADDR

8 Memory-Mapped Peripherals Suppose our purpose is to blink a LED (i.e., a GPIO pin): STORE GPIOC_LED0_ADDR,0x1 GPIOC_LED0_ADDR

9 Memory-Mapped Peripherals Suppose our purpose is to blink a LED (i.e., a GPIO pin): STORE GPIOC_LED0_ADDR,0x1 GPIOC_LED0_ADDR

10 Memory-Mapped Peripherals Suppose our purpose is to blink a LED (i.e., a GPIO pin): STORE GPIOC_LED0_ADDR,0x1 GPIOC_LED0_ADDR

11 Microcontroller External Pins Configuration MCUs are often pin-limited Not enough I/O pins for all I/O peripherals and functions! Pins have to be multiplexed (shared) between peripherals and functions Most pins can be configured for several functions: As input or output pin As an interrupt pin Setup a pull-up / pull-down internal resistor (NO floating pins!) Assigned as general-purpose I/O (GPIO) or to a specific peripheral Digital peripherals such as UARTs, SPIs, I2Cs Analog peripherals such as ADCs or DACs

12 Microcontroller External Pins Configuration Example to understand how this functionality works in a MCU the specific circuit and register may change wildly between MCUs Memory-Mapped registers Function Select Register PxSEL Interrupt Edge Select Register PxIES Interrupt Enable Register PxIE Interrupt Flag Register PxIFG Direction Register PxDIR PAD 1 Output Register PxOUT Input Register PxIN

13 Digital (Serial) Interfaces

14 UART

15 UART - 1 Stands for Universal Asynchronous Receiver- Transmitter sometimes also found as USART (Universal Synchronous- Asynchronous Receiver Transmitter) Used to interface MCUs with other computing devices: Communication with other processors, a PC (e.g. a serial terminal) Used to interface the microcontroller with others transmission bus as: RS232, RS485, USB, CAN BUS, KNX, LonWorks ecc. Used to connect MCUs with modems and transceivers as telephone modems, Bluetooth, Wi-Fi, GSM/GPRS/HDPSA

16 UART - 2 Essentially a parallel2serial (TX), serial2parallel (RX) converter couple e.g. using shift registers for P2S conversion Asynchronous: no common clock shared Each device has its own local clock, typically running faster than the bit rate (e.g. 8x faster) The phase of the receiver clock is locked onto the edge of the transmitted data Highly configurable parity / no parity data framing (e.g number of stop bits, number of payload bits) simplex, full-duplex or half-duplex

17 UART: baud rate vs bit rate UART communication speed is defined by its symbol rate measured in baud: 1 baud = 1 symbol per second in UART, a symbol has two values (0/1) -> 1 bit this number includes both data payload and protocol bits (e.g. parity, framing) this number is also called physical or gross bit rate Ref. Wikipedia Bit rate page This can cause some confusion Some people use bit rate for UART when referring only to payload bits In some devices (e.g. modems) one symbol might correspond to more bits - > baud rate is not the same as gross bit rate Bottom line: to be 100% clear, always talk of baud rate when referring to UART, and remember that in UART 1 symbol = 1 bit

18 UART: Interface Protocol 1. In idle, the transmission line is driven to 1

19 UART: Interface Protocol 1 start bit 2. The transfer begins with a start bit: the transmission line is driven to 0

20 UART: Interface Protocol 1 start bit 5-9 data bits 2. Then, a symbol of 5 to 9 bits is transmitted: most often, 8 bits (1 ASCII character) the symbol size is defined by the application and known a-priori with respect to the communication

21 UART: Interface Protocol 1 start bit 4-8 data bits 1 parity bit 3. One of the data bits can be used for parity: odd parity even parity in this case, 4-8 bits can be used for data

22 UART: Interface Protocol 1 start bit 4-8 data bits 1 parity bit 1-2 stop bits 4. Finally, 1-2 stop bits: Transmission line brought back to 1 1 or 2 stop bits depending on application

23 UART: Parity bit A parity bit, or check bit is a bit added to the end of a string of binary code that indicates whether the number of bits in the string with the value one is even or odd. Parity bits are used as the simplest form of error detecting code. There are two variants of parity bits: even parity bit and odd parity bit. In the case of even parity, for a given set of bits, the occurrences of bits whose value is 1 is counted. If that count is odd, the parity bit value is set to 1, making the total count of occurrences of 1's in the whole set(including the parity bit) an even number. If the count of 1's in a given set of bits is already even, the parity bit's value remains 0. In the case of odd parity, the situation is reversed. For a given set of bits, if the count of bits with a value of 1 is even, the parity bit value is set to 1 making the total count of 1's in the whole set(including the parity bit) an odd number. If the count of bits with a value of 1 is odd, the count is already odd so the parity bit's value remains 0. Even parity is a special case of a cyclic redundancy check (CRC), where the 1-bit CRC is generated by the polynomial x+1.

24 UART: Handshake The UART protocol can also include a handshake: request-to-send (RTS) signal from the MCU to the device means that the MCU can accept new data clear-to-send (CTS) signal from the device to the MCU means that the device can send new data signals have dual meaning if seen from the other point of view exchange happens when CTS and RTS are both asserted

25 UART or USART? UART = Universal Asynchronous Receiver Transmitter USART = Universal Synchronous Asynchronous Receiver Transmitter A USART can act in Asynchronous mode just like a UART. But it has the added capability of acting Synchronously. This means that the data is clocked. The clock is either recovered from the data itself or sent as an external signal. Asynchronous Serial Transmission data transmitted without having to send a clock signal: special bits added to each word to synchronize the sending and receiving units. No extra-wire needed extra bits typically added Start Bit, Stop Bit, Parity Bit Data rate typically fixed in agreement sender / receiver Synchronous Serial Transmission requires that the sender and receiver share a clock, i.e. the sender provides a clock or other timing signal so that the receiver knows when to read the next bit of the data. data is sent on one wire while a clock is sent on a different wire. It is usually more efficient because only data bits are transmitted between sender and receiver rather than extra bits like start bit, stop bits, etc.

26 UART or USART? UART UART requires only data signal In UART, data is normally transmitted one byte at a time. USART In USART, synchronous mode requires both data and a clock. In USART, synchronous data is normally transmitted in the form of blocks. In UART, data transfer speed is set around specific values like 4800, 9600, bps,etc. UART speed is limited around bps Full duplex Synchronous mode allows for a higher DTR (data transfer rate) than asynchronous mode does, if all other factors are held constant. USART is faster than 115kb Half duplex

27 I2C

28 I2C: Inter-Integrated Circuit Bus - 1 Usually pronounced I-Squared-C Introduced by Philips (now NXP Semiconductors) in 1982 Used for communication with external peripherals, for example: EEPROMs thermal sensors real-time clocks Also used as a control interface for signal processing devices with separate data interfaces, for example: radio frequency tuners video decoders and encoders audio processors

29 I2C: Inter-Integrated Circuit Bus - 2 Three supported speed modes: slow (under 100 Kbps) fast (400 Kbps) high-speed (3.4 Mbps) in I 2 C v.2.0 Maximum inter-ic distance of about 3 meters (for moderate speeds, less for high-speed) Can support multi-master mode For complex applications Communication is always started by a master, both in singlemaster and multi-master mode Half-duplex synchronous communication scheme the master of the communication generates the clock (SCL) on which data (SDA) is synchronized

30 I2C: Inter-Integrated Circuit Bus - 3 Based on two lines: SCL (serial clock) SDA (serial data) Pull-Up resistors, Pull-Down by open-drain drivers Wired-AND: if any driver pulls down, the line is low (avoids short circuits) Any module on the bus can act as master, slave or both typical case: MCU is the master, peripherals/sensors are slaves

31 I2C: Interface Protocol In idle, both SCL and SDA are pulled-up to 1

32 I2C: Interface Protocol 1. To start the communication, the master: asserts the start bit (SDA 1 0 transition while SCL is still 1) then, it starts generating the SCL clock except for the start and stop bits, SDA transitions only when SCL is 0

33 I2C: Interface Protocol 2. The master transmits the slave address: broadcasted to all devices on the I 2 C bus used to select the target slave either 7 bits or 10 bits (newer devices 7 bits address space is small!) in the example, the address is 7 b

34 I2C: Interface Protocol 3. The master transmits a direction bit: a 0 for master slave (write) transfer a 1 for slave master (read) transfer in the example, suppose a write transfer

35 I2C: Interface Protocol 4. The slave then acknowledges reception: by driving SDA to 0 if not acknowledged, the transaction must be repeated by the master

36 I2C: Interface Protocol 5. The master transmits its data payload: each payload packet is 8 bits there might be more than one packet, depending on application in the example, data payload is 8 b

37 I2C: Interface Protocol 6. The slave acknowledges reception of the data packet: 1 ack bit every 8 payload bits slave must acknowledge each packet

38 I2C: Interface Protocol 7. At the end of the transfer, the master transmits a stop bit: first, it sets SDA to 0 then it releases SCL (i.e. it lets it go to 1) finally, it releases SDA which also goes to 1

39 I2C: Interface Protocol Reads work similarly, but data transfer ack roles are reversed: the slave drives SDA when transmitting the data byte the master acknowledges the transfer

40 I2C: Clock Stretching Slave can ask for more time to process a bit by clock stretching: drive SCL to 0 if in need of more processing time

41 SPI

42 SPI: Serial Peripheral Interface - 1 Introduced by Motorola (now Freescale Semiconductors) for the MC68HCxx line of microcontrollers Use cases are generally similar to I 2 C Generally faster than I 2 C (up to several Mbit/s) Short-distance (i.e. on printed circuit boards) Single-master, multiple slave needs one chip select per slave device (no broadcast addressing) Full-duplex synchronous communication scheme master drives the clock (SCLK or SCK) clock polarity (i.e. write/read edges) and phase depend on specific application!

43 SPI: Serial Peripheral Interface - 2 Based on two data and two control lines: MISO (master-in, slave-out data) MOSI (master-out, slave-in data) SCK (clock) CSN (chip select, one per slave usually active low) Names are not standard, beware! Some possible alternatives: SDI (SPI data in) instead of MISO SDO (SPI data out) instead of MOSI SCLK, CLK, SPC, instead of SCK

44 SPI: Serial Peripheral Interface - 3 Full-duplex transfer: data is streamed between master and slave shift-registers / FIFO buffers: the master pushes the content of its buffer to the slave via MOSI the slave pushes the content of its buffer to the master via MISO Processing / sensing / happens in between (dashed line)

45 SPI: Interface Protocol - 1 Four operating modes, varying by clock polarity (CPOL) and phase (CPHA): polarity sets the initial value of the SPI clock signal phase defines the edge at which MOSI is switched and the one at which MISO is sampled

46 SPI: Interface Protocol - 1 Four operating modes, varying by clock polarity (CPOL) and phase (CPHA): polarity sets the initial value of the SPI clock signal phase defines the edge at which MOSI is switched and the one at which MISO is sampled 0

47 SPI: Interface Protocol - 1 Four operating modes, varying by clock polarity (CPOL) and phase (CPHA): polarity sets the initial value of the SPI clock signal phase defines the edge at which MOSI is switched and the one at which MISO is sampled

48 SPI: Interface Protocol - 1 Four operating modes, varying by clock polarity (CPOL) and phase (CPHA): polarity sets the initial value of the SPI clock signal phase defines the edge at which MOSI is switched and the one at which MISO is sampled

49 SPI: Interface Protocol - 2 Master completely in charge of transfer no ack, no clock stretching contrarily to I 2 C More complex behavior than simple data streaming can be mapped on top of SPI protocol e.g. command + address + data streaming

50 SPI vs I2C For point-to-point, SPI is simple and efficient Less overhead than I 2 C due to lack of addressing, plus SPI is fullduplex. For multiple slaves, each slave needs separate slave select signal SPI requires more effort and more hardware than I 2 C Quad-SPI also exists 4x the bandwidth, often used by Flash drives (up to 200 Mbit/s!!!) SPI I 2 C

51 Configurations Daisy-chain configuration vs Independent configuration Other difference with I2C Push-pull drivers (as opposed to open drain) provide good signal integrity and high speed

52 system cost with more Host package pins and more PCB layers. The next-generation: I3C 10 An evolution of I2C proposed by the MIPI alliance (2016/7) Designed to fit applications currently using I2C, SPI, UART Many operating modes, I2C backward-compatibility also supported without some of the most «exotic» features such as SCL stretching supporting also (mainly) push-pull drivers 12 Targets high data rate and energy efficiency In addition to the main interface other signals may be needed, such as dedicated interrupts, chip select sign and enable and sleep signals. This increases the required number of Host GPIOs, and that in turn drives As time passes and the number of sensors increases, this situation is becoming increasingly difficul support and manage. The MIPI I3C interface has been developed to ease sensor system design architectures in mobile wire products by providing a fast, low cost, low power, two-wire digital interface for sensors. Out-of-Band Interrupt I3C Main Master Host Controller May be SDR-Onl y I3C Bus (SDA & SCL) I 2 C Slave I3C Slave Figure 1 I3C System Diagram Legacy I 2 C Sensor(s) I3C Sensor(s) May be SDR-Only I3C Secondary Master I3C Smart Sensor(s) / Hub(s) / Engine(s) May be SDR-Only

53 The next generation: I3C 2

54 The next generation: I3C 3 I2C backward compatible High-Data Rate (SPI-like) Broadcast message Similar to I2C, but dynamic address

55 A few words on JTAG and SWD JTAG (Joint Test Action Group) or IEEE IEEE Standard Test Access Port and Boundary- Scan Architecture Variant: SWD Serial Wire Debug Why? Increasing board complexity Shorter product lifecycle Traditional test equipment not anymore adequate Loss of physical access Fine-pitch components SMTs, BGAs Increased board density High-speed signaling

56 JTAG Replace traditional boundary scan Debug interface to embedded processor - read registers, - set breakpoint, - single-stepping Abbreviation Signal TCK TMS TDI TDO TRST Test Clock Description Synchronizes the internal state machine operations Test Mode State Test mode selection Test Data In Test Data Out Test Reset Represents the data shifted into the device's test or programming logic. It is sampled at the rising edge of TCK when the internal state machine is in the correct state. Represents the data shifted out of the device's test or programming logic and is valid on the falling edge of TCK when the internal state machine is in the correct state An optional pin which, when available, can reset the TAP controller's state machine Daisy chain to control open and short circuit

57 Lecture Summary Reviewed hw/sw mechanisms to interface between components (inter-chip I/O) We focused mainly on serial digital interfaces (I 2 C, SPI, UART, JTAG)

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Microcontroller It is essentially a small computer on a chip Like any computer, it has memory,

More information

Amarjeet Singh. January 30, 2012

Amarjeet Singh. January 30, 2012 Amarjeet Singh January 30, 2012 Website updated - https://sites.google.com/a/iiitd.ac.in/emsys2012/ Lecture slides, audio from last class Assignment-2 How many of you have already finished it? Final deadline

More information

or between microcontrollers)

or between microcontrollers) : Communication Interfaces in Embedded Systems (e.g., to interface with sensors and actuators or between microcontrollers) Spring 2016 : Communication Interfaces in Embedded Systems Spring (e.g., 2016

More information

Growing Together Globally Serial Communication Design In Embedded System

Growing Together Globally Serial Communication Design In Embedded System Growing Together Globally Serial Communication Design In Embedded System Contents Serial communication introduction......... 01 The advantages of serial design......... 02 RS232 interface......... 04 RS422

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features

Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features Hello, and welcome to this presentation of the STM32 Universal Synchronous/Asynchronous Receiver/Transmitter Interface. It covers the main features of this USART interface, which is widely used for serial

More information

Serial Communication. Simplex Half-Duplex Duplex

Serial Communication. Simplex Half-Duplex Duplex 1.5. I/O 135 Serial Communication Simplex Half-Duplex Duplex 136 Serial Communication Master-Slave Master Master-Multi-Slave Master Slave Slave Slave (Multi-)Master Multi-Slave Master Slave Slave Slave

More information

HZX N03 Bluetooth 4.0 Low Energy Module Datasheet

HZX N03 Bluetooth 4.0 Low Energy Module Datasheet HZX-51822-16N03 Bluetooth 4.0 Low Energy Module Datasheet SHEN ZHEN HUAZHIXIN TECHNOLOGY LTD 2017.7 NAME : Bluetooth 4.0 Low Energy Module MODEL NO. : HZX-51822-16N03 VERSION : V1.0 1.Revision History

More information

OUTLINE. SPI Theory SPI Implementation STM32F0 SPI Resources System Overview Registers SPI Application Initialization Interface Examples

OUTLINE. SPI Theory SPI Implementation STM32F0 SPI Resources System Overview Registers SPI Application Initialization Interface Examples SERIAL PERIPHERAL INTERFACE (SPI) George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners OUTLINE SPI Theory SPI Implementation STM32F0 SPI Resources System

More information

Serial Peripheral Interface. What is it? Basic SPI. Capabilities. Protocol. Pros and Cons. Uses

Serial Peripheral Interface. What is it? Basic SPI. Capabilities. Protocol. Pros and Cons. Uses Serial Peripheral Interface What is it? Basic SPI Capabilities Protocol Serial Peripheral Interface http://upload.wikimedia.org/wikipedia/commons/thumb/e/ed/ SPI_single_slave.svg/350px-SPI_single_slave.svg.png

More information

Serial Communication. Spring, 2018 Prof. Jungkeun Park

Serial Communication. Spring, 2018 Prof. Jungkeun Park Serial Communication Spring, 2018 Prof. Jungkeun Park Serial Communication Serial communication Transfer of data over a single wire for each direction (send / receive) Process of sending data one bit at

More information

Raspberry Pi - I/O Interfaces

Raspberry Pi - I/O Interfaces ECE 1160/2160 Embedded Systems Design Raspberry Pi - I/O Interfaces Wei Gao ECE 1160/2160 Embedded Systems Design 1 I/O Interfaces Parallel I/O and Serial I/O Parallel I/O: multiple input/output simultaneously

More information

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI

Embedded Systems and Software. Serial Interconnect Buses I 2 C (SMB) and SPI Embedded Systems and Software Serial Interconnect Buses I 2 C (SMB) and SPI I2C, SPI, etc. Slide 1 Provide low-cost i.e., low wire/pin count connection between IC devices There are many of serial bus standards

More information

IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4

IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4 IV B.Tech. I Sem (R13) ECE : Embedded Systems : UNIT -4 1 UNIT 4 4.1. Serial data communication basics ----------- 1 4.2. UART ------------------------------------------------ 4 4.3. Serial Peripheral

More information

CprE 488 Embedded Systems Design. Lecture 4 Interfacing Technologies

CprE 488 Embedded Systems Design. Lecture 4 Interfacing Technologies CprE 488 Embedded Systems Design Lecture 4 Interfacing Technologies Joseph Zambreno Electrical and Computer Engineering Iowa State University www.ece.iastate.edu/~zambreno rcl.ece.iastate.edu Never trust

More information

Serial Buses in Industrial and Automotive Applications

Serial Buses in Industrial and Automotive Applications Serial Buses in Industrial and Automotive Applications Presented by Neelima Chaurasia Class: #368 1 Overview As consumer electronics, computer peripherals, vehicles and industrial applications add embedded

More information

Introduction to I2C & SPI. Chapter 22

Introduction to I2C & SPI. Chapter 22 Introduction to I2C & SPI Chapter 22 Issues with Asynch. Communication Protocols Asynchronous Communications Devices must agree ahead of time on a data rate The two devices must also have clocks that are

More information

McMaster University Embedded Systems. Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016

McMaster University Embedded Systems. Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016 McMaster University Embedded Systems Computer Engineering 4DS4 Lecture 6 Serial Peripherals Amin Vali Feb. 2016 Serial Peripherals I2C Inter-IC Bus X/Y Coord. RGB data LCD config controller LCD data controller

More information

USER GUIDE EDBG. Description

USER GUIDE EDBG. Description USER GUIDE EDBG Description The Atmel Embedded Debugger (EDBG) is an onboard debugger for integration into development kits with Atmel MCUs. In addition to programming and debugging support through Atmel

More information

Hello, and welcome to this presentation of the STM32 I²C interface. It covers the main features of this communication interface, which is widely used

Hello, and welcome to this presentation of the STM32 I²C interface. It covers the main features of this communication interface, which is widely used Hello, and welcome to this presentation of the STM32 I²C interface. It covers the main features of this communication interface, which is widely used to connect devices such as microcontrollers, sensors,

More information

Interfacing Techniques in Embedded Systems

Interfacing Techniques in Embedded Systems Interfacing Techniques in Embedded Systems Hassan M. Bayram Training & Development Department training@uruktech.com www.uruktech.com Introduction Serial and Parallel Communication Serial Vs. Parallel Asynchronous

More information

Real-Time Embedded Systems. CpE-450 Spring 06

Real-Time Embedded Systems. CpE-450 Spring 06 Real-Time Embedded Systems CpE-450 Spring 06 Class 5 Bruce McNair bmcnair@stevens.edu 5-1/42 Interfacing to Embedded Systems Distance 100 m 10 m 1 m 100 cm 10 cm "Transmission line" capacitance ( C) Distance

More information

Digital Circuits Part 2 - Communication

Digital Circuits Part 2 - Communication Introductory Medical Device Prototyping Digital Circuits Part 2 - Communication, http://saliterman.umn.edu/ Department of Biomedical Engineering, University of Minnesota Topics Microcontrollers Memory

More information

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT 1 Lecture 5: Computing Platforms Asbjørn Djupdal ARM Norway, IDI NTNU 2013 2 Lecture overview Bus based systems Timing diagrams Bus protocols Various busses Basic I/O devices RAM Custom logic FPGA Debug

More information

Serial Communication. Simplex Half-Duplex Duplex

Serial Communication. Simplex Half-Duplex Duplex 1.5. I/O 128 Serial Communication Simplex Half-Duplex Duplex 129 Serial Communication Master-Slave Master Master-Multi-Slave Master Slave Slave Slave (Multi-)Master Multi-Slave Master Slave Slave Slave

More information

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this?

Parallel Data Transfer. Suppose you need to transfer data from one HCS12 to another. How can you do this? Introduction the Serial Communications Huang Sections 9.2, 10.2, 11.2 SCI Block User Guide SPI Block User Guide IIC Block User Guide o Parallel vs Serial Communication o Synchronous and Asynchronous Serial

More information

Microcontrollers and Interfacing

Microcontrollers and Interfacing Microcontrollers and Interfacing Week 10 Serial communication with devices: Serial Peripheral Interconnect (SPI) and Inter-Integrated Circuit (I 2 C) protocols College of Information Science and Engineering

More information

EDBG. Description. Programmers and Debuggers USER GUIDE

EDBG. Description. Programmers and Debuggers USER GUIDE Programmers and Debuggers EDBG USER GUIDE Description The Atmel Embedded Debugger (EDBG) is an onboard debugger for integration into development kits with Atmel MCUs. In addition to programming and debugging

More information

Basics of UART Communication

Basics of UART Communication Basics of UART Communication From: Circuit Basics UART stands for Universal Asynchronous Receiver/Transmitter. It s not a communication protocol like SPI and I2C, but a physical circuit in a microcontroller,

More information

More on IO: The Universal Serial Bus (USB)

More on IO: The Universal Serial Bus (USB) ecture 37 Computer Science 61C Spring 2017 April 21st, 2017 More on IO: The Universal Serial Bus (USB) 1 Administrivia Project 5 is: USB Programming (read from a mouse) Optional (helps you to catch up

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 7 Design of Microprocessor-Based Systems Matt Smith University of Michigan Serial buses, digital design Material taken from Brehob, Dutta, Le, Ramadas, Tikhonov & Mahal 1 Timer Program //Setup Timer

More information

Network Embedded Systems Sensor Networks Fall Hardware. Marcus Chang,

Network Embedded Systems Sensor Networks Fall Hardware. Marcus Chang, Network Embedded Systems Sensor Networks Fall 2013 Hardware Marcus Chang, mchang@cs.jhu.edu 1 Embedded Systems Designed to do one or a few dedicated and/or specific functions Embedded as part of a complete

More information

ECE251: Thursday November 8

ECE251: Thursday November 8 ECE251: Thursday November 8 Universal Asynchronous Receiver & Transmitter Text Chapter 22, Sections 22.1.1-22.1.4-read carefully TM4C Data Sheet Section 14-no need to read this A key topic but not a lab

More information

FPGA Implementation Of SPI To I2C Bridge

FPGA Implementation Of SPI To I2C Bridge FPGA Implementation Of SPI To I2C Bridge Abhilash S.Warrier Akshay S.Belvadi Dhiraj R.Gawhane Babu Ravi Teja K Abstract Today s electronic system is not a standalone unit instead working in a group, where

More information

EE 456 Fall, Table 1 SPI bus signals. Figure 1 SPI Bus exchange of information between a master and a slave.

EE 456 Fall, Table 1 SPI bus signals. Figure 1 SPI Bus exchange of information between a master and a slave. EE 456 Fall, 2009 Notes on SPI Bus Blandford/Mitchell The Serial Peripheral Interface (SPI) bus was created by Motorola and has become a defacto standard on many microcontrollers. This is a four wire bus

More information

Design with Microprocessors

Design with Microprocessors Design with Microprocessors Lecture 6 Interfaces for serial communication Year 3 CS Academic year 2017/2018 1 st Semester Lecturer: Radu Dănescu Serial communication modules on AVR MCUs Serial Peripheral

More information

JTAG and I 2 C on ELMB

JTAG and I 2 C on ELMB JTAG and I 2 C on ELMB Henk Boterenbrood NIKHEF, Amsterdam Nov 2000 Version 0.3 ABSTRACT The ELMB is designed as a general-purpose plug-on module for distributed monitoring and control applications in

More information

Hello, and welcome to this presentation of the STM32 Low Power Universal Asynchronous Receiver/Transmitter interface. It covers the main features of

Hello, and welcome to this presentation of the STM32 Low Power Universal Asynchronous Receiver/Transmitter interface. It covers the main features of Hello, and welcome to this presentation of the STM32 Low Power Universal Asynchronous Receiver/Transmitter interface. It covers the main features of this interface, which is widely used for serial communications.

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Mark Brehob University of Michigan Timers Material taken from Dreslinski, Dutta, Le, Ramadas, Smith, Tikhonov & Mahal 1 Agenda A bit on timers Project overview

More information

Prototyping Module Datasheet

Prototyping Module Datasheet Prototyping Module Datasheet Part Numbers: MPROTO100 rev 002 Zenseio LLC Updated: September 2016 Table of Contents Table of Contents Functional description PROTOTYPING MODULE OVERVIEW FEATURES BLOCK DIAGRAM

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Prabal Dutta University of Michigan Lecture 10: Serial buses October 2, 2014 Some material from: Brehob, Le, Ramadas, Tikhonov & Mahal 1 Announcements Special

More information

RL78 Serial interfaces

RL78 Serial interfaces RL78 Serial interfaces Renesas Electronics 00000-A Introduction Purpose This course provides an introduction to the RL78 serial interface architecture. In detail the different serial interfaces and their

More information

Communication. Chirag Sangani

Communication. Chirag Sangani Communication Scope of Communication Telephones and cell phones. Satellite networks. Radio and DTH services. Campus LAN and wireless. Internet. Intra-galactic communication. Essentials of Communication

More information

Part Number: PCB-STM32-F4B1 (unpopulated PCB with Discovery module sockets, no other parts) STM32-F4B1 (assembled board, not presently available)

Part Number: PCB-STM32-F4B1 (unpopulated PCB with Discovery module sockets, no other parts) STM32-F4B1 (assembled board, not presently available) PCB-STM32-F4B1 Development baseboard for the STMicro Discovery-F4 module (STMicro part# STM32F4DISCOVERY) PCB Rev 1.00 shown. PCB Rev 1.20 has on-board RS232 drivers. Part Number: PCB-STM32-F4B1 (unpopulated

More information

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an

Infineon C167CR microcontroller, 256 kb external. RAM and 256 kb external (Flash) EEPROM. - Small single-board computer (SBC) with an Microcontroller Basics MP2-1 week lecture topics 2 Microcontroller basics - Clock generation, PLL - Address space, addressing modes - Central Processing Unit (CPU) - General Purpose Input/Output (GPIO)

More information

18-349: Introduction to Embedded Real-Time Systems

18-349: Introduction to Embedded Real-Time Systems 18-349: Introduction to Embedded Real-Time Systems Embedded Real-Time Systems Lecture 5: Serial Buses Anthony Rowe Electrical and Computer Engineering Carnegie Mellon University Last Lecture ARM ASM Part

More information

C8051F700 Serial Peripheral Interface (SPI) Overview

C8051F700 Serial Peripheral Interface (SPI) Overview C8051F700 Serial Peripheral Interface (SPI) Overview Agenda C8051F700 block diagram C8051F700 device features SPI operation overview SPI module overview Where to learn more 2 Introducing The C8051F700

More information

UART TO SPI SPECIFICATION

UART TO SPI SPECIFICATION UART TO SPI SPECIFICATION Author: Dinesh Annayya dinesha@opencores.org Table of Contents Preface... 3 Scope... 3 Revision History... 3 Abbreviations... 3 Introduction... 3 Architecture... 4 Baud-rate generator

More information

An SPI Temperature Sensor Interface with the Z8 Encore! SPI Bus

An SPI Temperature Sensor Interface with the Z8 Encore! SPI Bus Application Note An SPI Temperature Sensor Interface with the Z8 Encore! SPI Bus AN012703-0608 Abstract This Application Note provides an overview of Zilog s Z8 Encore! Serial Peripheral Interface (SPI)

More information

< W3150A+ / W5100 Application Note for SPI >

< W3150A+ / W5100 Application Note for SPI > < W3150A+ / W5100 Application Note for SPI > Introduction This application note describes how to set up the SPI in W3150A+ or W5100. Both the W3150A+ and W5100 have same architecture. W5100 is operated

More information

SKB360I Bluetooth 4.0 Low Energy Module Datasheet

SKB360I Bluetooth 4.0 Low Energy Module Datasheet SKB360I Bluetooth 4.0 Low Energy Module Datasheet Name: Bluetooth 4.0 Low Energy Module Model No.: SKB360I Version: V1.01 Revision History: Revision Description Approved Date V1.01 Initial Release Hogan

More information

Arduino Uno R3 INTRODUCTION

Arduino Uno R3 INTRODUCTION Arduino Uno R3 INTRODUCTION Arduino is used for building different types of electronic circuits easily using of both a physical programmable circuit board usually microcontroller and piece of code running

More information

ZigBee Compliant Platform 2.4G RF Low Power Transceiver Module for IEEE Standard. DATA SHEET Version B

ZigBee Compliant Platform 2.4G RF Low Power Transceiver Module for IEEE Standard. DATA SHEET Version B ZMD400-A01 ZigBee Compliant Platform 2.4G RF Low Power Transceiver Module for IEEE 802.15.4 Standard DATA SHEET Version B Quan International Co., Ltd., ZMD400 Features Fully compliant 802.15.4 Standard

More information

Microcontroller basics

Microcontroller basics FYS3240 PC-based instrumentation and microcontrollers Microcontroller basics Spring 2017 Lecture #4 Bekkeng, 30.01.2017 Lab: AVR Studio Microcontrollers can be programmed using Assembly or C language In

More information

SPI (Serial & Peripheral Interface)

SPI (Serial & Peripheral Interface) SPI (Serial & Peripheral Interface) What is SPI SPI is a high-speed, full-duplex bus that uses a minimum of 3 wires to exchange data. The popularity of this bus rose when SD cards (and its variants ie:

More information

Product Specification

Product Specification Product Specification Features Amp ed RF, Inc. Description 15mm x 27mm The added class 1 power, +18dBm, of the BT-11, gives this module one of the best ranges in the industry. It s completely pin compatible

More information

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EE Microcontroller Based System Design

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EE Microcontroller Based System Design DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EE6008 - Microcontroller Based System Design UNIT III PERIPHERALS AND INTERFACING PART A 1. What is an

More information

ECE Microcontrollers. Serial Peripheral Interface (SPI) & NRF24 Radio

ECE Microcontrollers. Serial Peripheral Interface (SPI) & NRF24 Radio ECE 381 - Microcontrollers Serial Peripheral Interface (SPI) & NRF24 Radio Lab 9 Summary We will develop a wireless temperature sensor Once a second, sample LM34CZ voltage Convert to floating point with

More information

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications o Asynchronous Serial (SCI, RS-232) o Synchronous Serial (SPI, IIC) The MC9S12

More information

Understanding SPI with Precision Data Converters

Understanding SPI with Precision Data Converters Understanding SPI with Precision Data Converters By: Tony Calabria Presented by: 1 Communication Comparison SPI - Serial Peripheral Interface Bus I2C - Inter- Integrated Circuit Parallel Bus Advantages

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

The Serial Peripheral Interface

The Serial Peripheral Interface (SPI) ARSLAB - Autonomous and Robotic Systems Laboratory Dipartimento di Matematica e Informatica - Università di Catania, Italy santoro@dmi.unict.it L.S.M. 1 Course What is SPI? The SPI Serial Peripheral

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Ken Foust Intel. A Developer s Guide to MIPI I3C SM for Sensors and Beyond

Ken Foust Intel. A Developer s Guide to MIPI I3C SM for Sensors and Beyond Ken Foust Intel A Developer s Guide to MIPI I3C SM for Sensors and Beyond Outline Introduction to MIPI I3C SM Usages beyond sensing MIPI Camera Control Interface (CCI SM ) MIPI Touch over I3C SM MIPI Debug

More information

Lecture 14 Serial Peripheral Interface

Lecture 14 Serial Peripheral Interface www.atomicrhubarb.com/systems Lecture 14 Serial Peripheral Interface Section Topic Where in the books Zilog PS220 "Enhanced Serial Peripheral Interface" Assorted datasheets Synchronous Serial Buses 1-wire

More information

I2C a learn.sparkfun.com tutorial

I2C a learn.sparkfun.com tutorial I2C a learn.sparkfun.com tutorial Available online at: http://sfe.io/t82 Contents Introduction Why Use I2C? I2C at the Hardware Level Protocol Resources and Going Further Introduction In this tutorial,

More information

Dariusz Makowski Department of Microelectronics and Computer Science tel

Dariusz Makowski Department of Microelectronics and Computer Science tel Dariusz Makowski Department of Microelectronics and Computer Science tel. 631 2720 dmakow@dmcs.pl http://fiona.dmcs.pl/es 1 Lecture Agenda Microprocessor Systems, ARM Processors Family Peripheral Devices

More information

Serial Communications

Serial Communications 1 Serial Interfaces 2 Embedded systems often use a serial interface to communicate with other devices. Serial Communications Serial implies that it sends or receives one bit at a time. Serial Interfaces

More information

CAN protocol enhancement

CAN protocol enhancement Protocols CAN protocol enhancement This article describes the enhanced CAN protocol called CAN-HG and the features of the IC circuitry from Canis that implement it. CAN-HG has been designed to meet two

More information

G80 SoC Datasheet. Where Hardware Meets Software

G80 SoC Datasheet. Where Hardware Meets Software GHI Electronics, LLC 501 E. Whitcomb Ave. Madison Heights, Michigan 48071 Phone: (248) 397-8856 Fax: (248) 397-8890 www.ghielectronics.com G80 SoC Datasheet Where Hardware Meets Software GHI Electronics,

More information

SH1030 Rev Introduction. Ultra low power DASH7 Arduino Shield Modem. Applications. Description. 868 MHz. Features

SH1030 Rev Introduction. Ultra low power DASH7 Arduino Shield Modem. Applications. Description. 868 MHz. Features SH1030 Rev. 1.2 Applications Wireless sensor network Data acquisition equipment Security systems Industrial monitor and control Internet of things (IoT) Ultra low power DASH7 Arduino Shield Modem 868 MHz

More information

Digital Storage Oscilloscope

Digital Storage Oscilloscope Digital Storage Oscilloscope GDS-3000 Series SERIAL DECODE MANUAL GW INSTEK PART NO. 82DS-SBD00U01 ISO-9001 CERTIFIED MANUFACTURER October 2010 This manual contains proprietary information, which is protected

More information

Serial Communication

Serial Communication Serial Communication What is serial communication? Basic Serial port operation. Classification of serial communication. (UART,SPI,I2C) Serial port module in PIC16F887 IR Remote Controller Prepared By-

More information

PCB-STM32-F3U. Development baseboard for the STMicro Discovery-F3 module (STMicro part# STM32F3DISCOVERY)

PCB-STM32-F3U. Development baseboard for the STMicro Discovery-F3 module (STMicro part# STM32F3DISCOVERY) PCB-STM32-F3U Development baseboard for the STMicro Discovery-F3 module (STMicro part# STM32F3DISCOVERY) Part Number: PCB-STM32-F3U (unpopulated PCB with Discovery module sockets, no other parts) STM32-F3U

More information

Laboratory 5 Communication Interfaces

Laboratory 5 Communication Interfaces Laboratory 5 Communication Interfaces Embedded electronics refers to the interconnection of circuits (micro-processors or other integrated circuits) with the goal of creating a unified system. In order

More information

Serial Peripheral Interface Bus SPI

Serial Peripheral Interface Bus SPI Serial Peripheral Interface Bus SPI SPI Bus Developed by Motorola in the mid 1980 s Full-duplex, master-slave serial bus suited to data streaming applications for embedded systems Existing peripheral busses

More information

Development and research of different architectures of I 2 C bus controller. E. Vasiliev, MIET

Development and research of different architectures of I 2 C bus controller. E. Vasiliev, MIET Development and research of different architectures of I 2 C bus controller E. Vasiliev, MIET I2C and its alternatives I²C (Inter-Integrated Circuit) is a multi-master serial computer bus invented by Philips

More information

8051SERIAL PORT PROGRAMMING

8051SERIAL PORT PROGRAMMING 8051SERIAL PORT PROGRAMMING Basics of Serial Communication Computers transfer data in two ways: Parallel Often 8 or more lines (wire conductors) are used to transfer data to a device that is only a few

More information

BT-22 Product Specification

BT-22 Product Specification BT-22 Product Specification Features Amp ed RF, Inc. Description 10.4 mm x 13.5 mm Our micro-sized Bluetooth module is the smallest form factor available providing a complete RF platform. The BT-22 is

More information

WM1030 Rev Introduction. Ultra low power DASH7 Modem. Applications. Description. 868 / 915 MHz. Features. WIZZILAB Technical datasheet 1/10

WM1030 Rev Introduction. Ultra low power DASH7 Modem. Applications. Description. 868 / 915 MHz. Features. WIZZILAB Technical datasheet 1/10 WM1030 Rev. 1.2 Applications Wireless sensor network Data acquisition equipment Security systems Industrial monitor and control Internet of things (IoT) Ultra low power DASH7 Modem 868 / 915 MHz 1 Introduction

More information

3.3V regulator. JA H-bridge. Doc: page 1 of 7

3.3V regulator. JA H-bridge. Doc: page 1 of 7 Digilent Cerebot Board Reference Manual Revision: 11/17/2005 www.digilentinc.com 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilent Cerebot Board is a useful tool for

More information

Module Introduction. PURPOSE: The intent of this module, is to explain several of the important features of Freescale s i.mx1 applications processor.

Module Introduction. PURPOSE: The intent of this module, is to explain several of the important features of Freescale s i.mx1 applications processor. Module Introduction PURPOSE: The intent of this module, is to explain several of the important features of Freescale s i.mx1 applications processor. OBJECTIVES: - Describe the features and functions of

More information

Input-Output Organization

Input-Output Organization Ted Borys - CSI 404 5/1/2004 Page 11-1 Section 11 Input-Output Organization ASCII Character Set 94 printable characters Upper & lowercase letters 10 numerals Special characters such as $, @, #, % 34 control

More information

Real Time Embedded Systems. Lecture 1 January 17, 2012

Real Time Embedded Systems.  Lecture 1 January 17, 2012 SPI 4-Wire 3-Wire Real Time Embedded Systems www.atomicrhubarb.com/embedded Lecture 1 January 17, 2012 Topic Section Topic Where in the books Catsoulis chapter/page Simon chapter/page Zilog UM197 (ZNEO

More information

For reference only Refer to the latest documents for details

For reference only Refer to the latest documents for details STM32F3 Technical Training For reference only Refer to the latest documents for details Serial peripheral interface SPI 3 SPI Features (1/2) 3 Full duplex synchronous transfers (3 lines) Half duplex/simplex

More information

Emulating Dual SPI Using FlexIO

Emulating Dual SPI Using FlexIO Freescale Semiconductor, Inc. Document Number: AN5242 Application Note Rev. 0, 01/2016 Emulating Dual SPI Using FlexIO 1. Introduction This application note discusses one example of how to use FlexIO module

More information

INTRODUCTION TO FLEXIO

INTRODUCTION TO FLEXIO INTRODUCTION TO FLEXIO Osvaldo Romero Applications Engineer EXTERNAL USE Agenda Introduction to FlexIO FlexIO Main Features FlexIO Applications Freescale Products with FlexIO Collaterals\Tools for FlexIO

More information

Nano RK And Zigduino. wnfa ta course hikaru4

Nano RK And Zigduino. wnfa ta course hikaru4 Nano RK And Zigduino wnfa ta course hikaru4 Today's outline Zigduino v.s. Firefly Atmel processor and the program chip I/O Interface on the board Atmega128rfa1, FTDI chip... GPIO, ADC, UART, SPI, I2C...

More information

Serial Communications

Serial Communications Serial Communications p. 1/2 Serial Communications CSEE W4840 Prof. Stephen A. Edwards Columbia University Early Serial Communication Serial Communications p. 2/2 Data Terminal Equipment Serial Communications

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

BV4626 General Purpose I/O. Product specification. Mar 2010 V0.a. ByVac Page 1 of 13

BV4626 General Purpose I/O. Product specification. Mar 2010 V0.a. ByVac Page 1 of 13 General Purpose I/O Product specification Mar 2010 V0.a ByVac Page 1 of 13 Contents 1. Introduction... 3 2. Features... 3 3. Physical Specification... 3 3.1. JP7... 3 3.2. Control Interface... 4 3.3. Serial

More information

Multicommunication Type Identifying Debugging Probe

Multicommunication Type Identifying Debugging Probe Multicommunication Type Identifying Debugging Probe Group 1619 Hardware Security Team members: Austin Funes Cheng Guo Sommy Okwuosah Team Advisor: Dr. Chandy Graduate Advisor: Sara Tehranipoor Summary:

More information

Introduction to ARM LPC2148 Microcontroller

Introduction to ARM LPC2148 Microcontroller Introduction to ARM LPC2148 Microcontroller Dr.R.Sundaramurthy Department of EIE Pondicherry Engineering College Features of LPC2148 in a Nut Shell CPU = ARM 7 Core Word Length = 32 Bit ROM = 512 KB RAM

More information

Using FlexIO to emulate communications and timing peripherals

Using FlexIO to emulate communications and timing peripherals NXP Semiconductors Document Number: AN12174 Application Note Rev. 0, 06/2018 Using FlexIO to emulate communications and timing peripherals 1. Introduction The FlexIO is a new on-chip peripheral available

More information

Advantages of MIPI Interfaces in IoT Applications

Advantages of MIPI Interfaces in IoT Applications Advantages of MIPI Interfaces in IoT Applications IoT DevCon Conference Hezi Saar April 27, 2017 Abstract In addition to sensors, high-resolution cameras are key enablers of IoT devices. The challenge

More information

Introduction the Serial Communications Huang Sections 9.2, 10.2 SCI Block User Guide SPI Block User Guide

Introduction the Serial Communications Huang Sections 9.2, 10.2 SCI Block User Guide SPI Block User Guide Introduction the Serial Communications Huang Sections 9.2,.2 SCI Block User Guide SPI Block User Guide Parallel Data Transfer Suppose you need to transfer data from one HCS2 to another. How can you do

More information

BV4615. Dual Interface Zero Keypad. Product specification. Dec 2009 V0.a. ByVac Page 1 of 11

BV4615. Dual Interface Zero Keypad. Product specification. Dec 2009 V0.a. ByVac Page 1 of 11 Product specification Dec 2009 V0.a ByVac Page 1 of 11 Contents 1. Introduction...3 2. Features...3 3. Physical Specification...3 3.1. Serial connector...3 3.2. Multiple Devices...4 3.3. I2C...4 4. Output

More information

Welcome to this presentation of the STM32 direct memory access controller (DMA). It covers the main features of this module, which is widely used to

Welcome to this presentation of the STM32 direct memory access controller (DMA). It covers the main features of this module, which is widely used to Welcome to this presentation of the STM32 direct memory access controller (DMA). It covers the main features of this module, which is widely used to handle the STM32 peripheral data transfers. 1 The Direct

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information

Groking the Linux SPI Subsystem FOSDEM Matt Porter

Groking the Linux SPI Subsystem FOSDEM Matt Porter Groking the Linux SPI Subsystem FOSDEM 2017 Matt Porter Obligatory geek reference deobfuscation grok (/gräk/) verb to understand intuitively or by empathy, to establish rapport with. Overview What is SPI?

More information