Towards an automatic co-generator for manycores. architecture and runtime: STHORM case-study

Size: px
Start display at page:

Download "Towards an automatic co-generator for manycores. architecture and runtime: STHORM case-study"

Transcription

1 Procedia Computer Science Towards an automatic co-generator for manycores Volume 51, 2015, Pages architecture and runtime: STHORM case-study ICCS 2015 International Conference On Computational Science Charly Bechara, Karim Ben Chehida and Farhat Thabet CEA, LIST, Gif-sur-Yvette CEDEX, FRANCE Keywords: Runtime Manycore IP-XACT - Automatic generator STHORM - SESAM Introduction The increasing design complexity of manycore architectures at the hardware (HW) and software (SW) levels imposes to have powerful tools capable of validating every functional and non-functional property of the architecture. At the design phase, the chip architect needs to explore several parameters from the design space, and iterate on different instances of the architecture, in order to meet the defined requirements. Each new architectural instance requires the configuration and the generation of a new hardware model/simulator, its runtime, and the applications that will run on the platform, which is a very long and error-prone task. In this context, the IP-XACT [3] standard has become widely used in the semiconductor industry to package IPs and provide low level SW stack to ease their integration. In this work, we present a primer work on a methodology to automatically configuring and assembling an IP-XACT golden model and generating the corresponding manycore architecture HW model, low-level software runtime and applications. We use the STHORM [1] manycore architecture as a case study. Automatic generator methodology The idea is to work on a unique IP-XACT model with different abstractions (mainly at the interface level) commonly used in the design space exploration (DSE) and implementation phases to guarantee the coherency of the TLM (Transaction Level Modeling) and the RTL (Register Transfer Level) architecture models. The DSE phase is based on fast TLM simulations, result analysis considering the target optimization criteria (performance, power, and reliability) and global parameters modification of the IP-XACT model to close the loop and guide its convergence throughout iterations. The IP-XACT design flow methodology, shown in Figure 1, is composed of four main steps: 1. IP-XACT platform model: assembling an IP-XACT model of the manycore architecture from the IP-XACT IP (Intellectual Property) library considering the different IP parameters. From the IP- XACT platform model, which is an xml format, two design configurations could be derived to target TLM level and RTL level interconnect abstractions. 2. Platform Generators: in order to build a platform simulator corresponding to the design parameters of the current DSE iteration, it is important to automate the generation of the corresponding TLM or RTL simulators, the software runtime and the application (using for example the IP-XACT standardized Tight Generator Interface (TGI)) and adapt them to take into account a set of parameters corresponding to the DSE iteration (such as the number of processors/clusters, degree of parallelism, custom IPs used, etc ). a. TLM/RTL simulator: Starting from TLM/RTL models, IP libraries and the configuration parameters, a custom generator can produce the corresponding TLM or RTL simulator. Selection and peer-review under responsibility of the Scientific Programme Committee of ICCS 2015 c The Authors. Published by Elsevier B.V. doi: /j.procs

2 b. SW runtime: the low level hardware dependent software (HDS) layer (corresponding mainly to simple register accesses and the system memory map) can be generated by aggregating the IP level HDS information. The SW runtime used in this study [4] is a set of libraries (communication, execution engines, synchronization, resource management ) where the resource management library is built on top of the HDS layer. A custom generator can build a new runtime for this design iteration. c. Application: a custom generator can exploit the new configuration parameters to restructure the application accordingly. For instance, OpenMP pragmas can be inserted. Figure 1 The unified IP-XACT based design flow for fast design space exploration 3. Manycore architecture simulator: The fast simulation phase is based on a Timed TLM simulator designed in the laboratory called SESAM [2] that delivers reports and statistics on some functional and non-functional criteria such as performance, power and reliability. The SESAM simulator will take as input the generated TLM top netlist, the TLM IP library, the generated SW runtime, and the compiled application to launch a global simulation. SESAM supports also the integration of RTL 2810

3 models for co-simulation. After convergence of the DSE loop, the final step will be the generation of the RTL netlist for the overall manycore architecture from the IP-XACT model, and then follow the traditional hardware simulation and emulation flow with the corresponding EDA (Electronic Design Automation) tools. 4. Design analysis & optimization: the design analysis tool is in charge of the comparison of the resulting metrics with respect to the initial system requirements. Based on the comparison results, the design optimization engine modifies the initial IP-XACT model parameters and even its specifications, based on heuristics. STHORM case-study In this work, we use STHORM [1] manycore architecture and HBDC (Human Body Detection Counter) application as a case study. In order to model the STHORM architecture in SESAM (Figure 2), we extract the following information from the architectural description: the modules that do the actual computation or processing (such as the processor STxP70, the Hardware Synchronizer HWS [5], the Fabric Controller, and other elements), the memories and caches, the interconnection networks, and the latencies of the different modules (measured using special counters from the HW emulated design, or on the real chip). Each component is a SystemC model with TLM interfaces. From the IP-XACT model of the whole architecture, the toolchain generates the top level netlist for SESAM, the low level runtime software, and the system map of the architecture. This corresponds to phases 1, 2.a and part of the 2.b of our methodology. 2811

4 Figure 2 STHORM model in SESAM The HBDC application runs in an airport security context, and counts the number of passengers that passes in front of the camera or multi-camera configuration. In our case, the real-time requirements are: 4 cameras with HD resolution, 30 fps, and 10 detected humans by image. The overall computation power needed is around 50 GOPS. The profiling of the application resulted that 90% of the execution time is passed in the human extraction part. This part is highly parallelizable by sub-images and dynamic, thus can be run on multiple processors. This is a promising property for the DSE. Conclusion and Future work In this preliminary study, we have introduced the problem of system model coherency in the design space exploration flow for digital systems. The current work consists of building the automation system of the generator for configurable SW runtime and the applications. In addition, we are currently working on the 4 th last phase of the methodology (design analysis & optimization) in order to have a closed-loop automated DSE flow. 2812

5 References [1] D. Melpignano, L. Benini, E. Flamand, B. Jego, T. Lepley, G. Haugou, F. Clermidy, and D. Dutoit Platform 2012, a many-core computing accelerator for embedded SoCs: performance evaluation of visual analytics applications. In Proceedings of the 49th Annual Design Automation Conference (DAC '12). [2] N. Ventroux, A. Guerre, T. Sassolas, L. Moutaoukil, G. Blanc, C. Bechara, R. David, "SESAM: An MPSoC Simulation Environment for Dynamic Application Processing," Computer and Information Technology, 10th IEEE International Conference on Computer and Information Technology, June [3] IEEE Standard for IP-XACT, Standard Stricture for Packaging, Integrating, and Reusing IP within Tool Flows, IEEE Computer Society and the IEEE Standards Association Corporate Advisory Group. IEEE std 1685TM-2009, 18 Feb [4] Y. Lhuillier, M. Ojail, A. Guerre, J.M. Philippe, K. Ben Chehida, F. Thabet, C. Andriamisaina, C. Jaber, and R. David HARS: A hardware-assisted runtime software for embedded many-core architectures. ACM Trans. Embed. Comput. Syst, March 2014 [5] Thabet, Farhat; Lhuillier, Yves; Andriamisaina, Caaliph; Philippe, Jean-Marc; David, Raphael, "An efficient and flexible hardware support for accelerating synchronization operations on the STHORM many-core architecture," Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, vol., no., pp.531,534, March

GRAPHIC RENDERING APPLICATION PROFILING ON A SHARED MEMORY MPSOC ARCHITECTURE. Matthieu Texier, Raphaël David, Karim Ben Chehida

GRAPHIC RENDERING APPLICATION PROFILING ON A SHARED MEMORY MPSOC ARCHITECTURE. Matthieu Texier, Raphaël David, Karim Ben Chehida GRAPHIC RENDERING APPLICATION PROFILING ON A SHARED MEMORY MPSOC ARCHITECTURE Matthieu Texier, Raphaël David, Karim Ben Chehida CEA, LIST, Embedded Computing Lab PC 94, F-91191 Gif-sur-Yvette Cedex Email:

More information

SPIRIT IP-XACT Controlled ESL Design Tool Applied to a Network-on-Chip Platform

SPIRIT IP-XACT Controlled ESL Design Tool Applied to a Network-on-Chip Platform SPIRIT IP-XACT Controlled ESL Design Tool Applied to a Network-on-Chip Platform Emmanuel Vaumorin and Maxime Palus Fabien Clermidy and Jérôme Martin Magillem Design Services CEA Leti Minatec 4 rue de la

More information

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 The Use Of Virtual Platforms In MP-SoC Design Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006 1 MPSoC Is MP SoC design happening? Why? Consumer Electronics Complexity Cost of ASIC Increased SW Content

More information

PACHA : Low Cost Bare Metal Development for Shared Memory Manycore Accelerators

PACHA : Low Cost Bare Metal Development for Shared Memory Manycore Accelerators Available online at www.sciencedirect.com Procedia Computer Science 18 (2013 ) 1644 1653 International Conference on Computational Science, ICCS 2013 PACHA : Low Cost Bare Metal Development for Shared

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

Design Space Exploration and Application Autotuning for Runtime Adaptivity in Multicore Architectures

Design Space Exploration and Application Autotuning for Runtime Adaptivity in Multicore Architectures Design Space Exploration and Application Autotuning for Runtime Adaptivity in Multicore Architectures Cristina Silvano Politecnico di Milano cristina.silvano@polimi.it Outline Research challenges in multicore

More information

Hardware Design and Simulation for Verification

Hardware Design and Simulation for Verification Hardware Design and Simulation for Verification by N. Bombieri, F. Fummi, and G. Pravadelli Universit`a di Verona, Italy (in M. Bernardo and A. Cimatti Eds., Formal Methods for Hardware Verification, Lecture

More information

A New Electronic System Level Methodology for Complex Chip Designs

A New Electronic System Level Methodology for Complex Chip Designs A New Electronic System Level Methodology for Complex Chip Designs Chad Spackman President, Co-Founder 1 Copyright 2006. All rights reserved. We are an EDA Tool Company: C2R Compiler, Inc. General purpose

More information

2.1 Typical IP-XACT based flow The IP-XACT standard can be applied in various parts of a typical SoC design flow as depicted in Figure 1

2.1 Typical IP-XACT based flow The IP-XACT standard can be applied in various parts of a typical SoC design flow as depicted in Figure 1 Industrial Integration Flows based on -XACT Standards Wido Kruijtzer 1, Pieter van der Wolf 1, Erwin de Kock 1, Jan Stuyt 1, Wolfgang Ecker 2, Albrecht Mayer 2, Serge Hustin 3, Christophe Amerijckx 3,

More information

Long Term Trends for Embedded System Design

Long Term Trends for Embedded System Design Long Term Trends for Embedded System Design Ahmed Amine JERRAYA Laboratoire TIMA, 46 Avenue Félix Viallet, 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr Abstract. An embedded system is an application

More information

Applications to MPSoCs

Applications to MPSoCs 3 rd Workshop on Mapping of Applications to MPSoCs A Design Exploration Framework for Mapping and Scheduling onto Heterogeneous MPSoCs Christian Pilato, Fabrizio Ferrandi, Donatella Sciuto Dipartimento

More information

N. VENTROUX. SoCsare becomingmore and more complex. Complexity in a chip is increasing x1.6 every 2 years (ITRS 2013)

N. VENTROUX. SoCsare becomingmore and more complex. Complexity in a chip is increasing x1.6 every 2 years (ITRS 2013) Virtual prototyping acceleration on manycore architectures www.cea.fr N. VENTROUX CEA LIST Computing and Design Environment Lab CEA-Saclay NanoInnov nicolas.ventroux@cea.fr SoCsare becomingmore and more

More information

Key technologies for many core architectures

Key technologies for many core architectures Key technologies for many core architectures Thierry Collette CEA, LIST thierry.collette@c ea.fr 1 Embedded computing Silicon area offers perfo rmance Applications x 40 from 90 to 45 ns Computing performance

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS Embedded System System Set of components needed to perform a function Hardware + software +. Embedded Main function not computing Usually not autonomous

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration

Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration Chapter 2 M3-SCoPE: Performance Modeling of Multi-Processor Embedded Systems for Fast Design Space Exploration Hector Posadas, Sara Real, and Eugenio Villar Abstract Design Space Exploration for complex,

More information

SCope: Efficient HdS simulation for MpSoC with NoC

SCope: Efficient HdS simulation for MpSoC with NoC SCope: Efficient HdS simulation for MpSoC with NoC Eugenio Villar Héctor Posadas University of Cantabria Marcos Martínez DS2 Motivation The microprocessor will be the NAND gate of the integrated systems

More information

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs Niu Feng Technical Specialist, ARM Tech Symposia 2016 Agenda Introduction Challenges: Optimizing cache coherent subsystem

More information

Transaction Level Analysis for a Clustered and Hardware-Enhanced Task Manager on Homogeneous Many-Core Systems

Transaction Level Analysis for a Clustered and Hardware-Enhanced Task Manager on Homogeneous Many-Core Systems Transaction Level Analysis for a Clustered and Hardware-Enhanced Task Manager on Homogeneous Many-Core Systems Daniel Gregorek, Robert Schmidt, Alberto García-Ortiz Institute of Electrodynamics and Microelectronics,

More information

Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation

Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation Aimen Bouchhima, Patrice Gerin and Frédéric Pétrot System-Level Synthesis Group TIMA Laboratory 46, Av Félix

More information

A Generic RTOS Model for Real-time Systems Simulation with SystemC

A Generic RTOS Model for Real-time Systems Simulation with SystemC A Generic RTOS Model for Real-time Systems Simulation with SystemC R. Le Moigne, O. Pasquier, J-P. Calvez Polytech, University of Nantes, France rocco.lemoigne@polytech.univ-nantes.fr Abstract The main

More information

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms

Choosing IP-XACT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms hoosing IP-XAT IEEE 1685 standard as a unified description for timing and power performance estimations in virtual platforms platforms Emmanuel Vaumorin (Magillem Design Services) Motivation New needs

More information

Computer-Aided Recoding for Multi-Core Systems

Computer-Aided Recoding for Multi-Core Systems Computer-Aided Recoding for Multi-Core Systems Rainer Dömer doemer@uci.edu With contributions by P. Chandraiah Center for Embedded Computer Systems University of California, Irvine Outline Embedded System

More information

A SystemC Extension for Enabling Tighter Integration of IP-XACT Platforms with Virtual Prototypes

A SystemC Extension for Enabling Tighter Integration of IP-XACT Platforms with Virtual Prototypes A SystemC Extension for Enabling Tighter Integration of IP-XACT Platforms with Virtual Prototypes Guillaume Godet-Bar, Magillem Design Services, Paris, France (godet-bar@magillem.com) Jean-Michel Fernandez,

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

OpenMP for next generation heterogeneous clusters

OpenMP for next generation heterogeneous clusters OpenMP for next generation heterogeneous clusters Jens Breitbart Research Group Programming Languages / Methodologies, Universität Kassel, jbreitbart@uni-kassel.de Abstract The last years have seen great

More information

MPSOC Design examples

MPSOC Design examples MPSOC 2007 Eshel Haritan, VP Engineering, Inc. 1 MPSOC Design examples Freescale: ARM1136 + StarCore140e Broadcom: ARM11 + ARM9 + TeakLite + accelerators Qualcomm 4 processors + video, gps, wireless, audio

More information

Embedded HW/SW Co-Development

Embedded HW/SW Co-Development Embedded HW/SW Co-Development It May be Driven by the Hardware Stupid! Frank Schirrmeister EDPS 2013 Monterey April 18th SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal

More information

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design

A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design A Unified HW/SW Interface Model to Remove Discontinuities between HW and SW Design Ahmed Amine JERRAYA EPFL November 2005 TIMA Laboratory 46 Avenue Felix Viallet 38031 Grenoble CEDEX, France Email: Ahmed.Jerraya@imag.fr

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Memory Performance Characterization of SPEC CPU2006 Benchmarks Using TSIM1

Memory Performance Characterization of SPEC CPU2006 Benchmarks Using TSIM1 Available online at www.sciencedirect.com Physics Procedia 33 (2012 ) 1029 1035 2012 International Conference on Medical Physics and Biomedical Engineering Memory Performance Characterization of SPEC CPU2006

More information

Power Aware Architecture Design for Multicore SoCs

Power Aware Architecture Design for Multicore SoCs Power Aware Architecture Design for Multicore SoCs EDPS Monterey Patrick Sheridan Synopsys Virtual Prototyping April 2015 Low Power SoC Design Multi-disciplinary system problem Must manage energy consumption

More information

2 TEST: A Tracer for Extracting Speculative Threads

2 TEST: A Tracer for Extracting Speculative Threads EE392C: Advanced Topics in Computer Architecture Lecture #11 Polymorphic Processors Stanford University Handout Date??? On-line Profiling Techniques Lecture #11: Tuesday, 6 May 2003 Lecturer: Shivnath

More information

A Lost Cycles Analysis for Performance Prediction using High-Level Synthesis

A Lost Cycles Analysis for Performance Prediction using High-Level Synthesis A Lost Cycles Analysis for Performance Prediction using High-Level Synthesis Bruno da Silva, Jan Lemeire, An Braeken, and Abdellah Touhafi Vrije Universiteit Brussel (VUB), INDI and ETRO department, Brussels,

More information

Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0

Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0 Experiences and Challenges of Transaction-Level Modelling with SystemC 2.0 Alain CLOUARD STMicroelectronics Central R&D (Grenoble, France) STMicroelectronics TLM is useful SoC HW/SW design flow Standard

More information

Research Collection. KISS PULPino - Updates on PULPino updates on PULPino. Other Conference Item. ETH Library

Research Collection. KISS PULPino - Updates on PULPino updates on PULPino. Other Conference Item. ETH Library Research Collection Other Conference Item KISS PULPino - Updates on PULPino updates on PULPino Author(s): Pullini, Antonio; Gautschi, Michael; Gürkaynak, Frank Kagan; Glaser, Florian; Mach, Stefan; Rovere,

More information

R3-7. SASIMI 2015 Proceedings. A Verilog Compiler Proposal for VerCPU Simulator. January 29,

R3-7. SASIMI 2015 Proceedings. A Verilog Compiler Proposal for VerCPU Simulator. January 29, R3-7 SASIMI 2015 Proceedings A Verilog Compiler Proposal for VerCPU Simulator Tze Sin Tan Altera Corporation Penang, 11900 Malaysia tts12 eee107@student.usm.my, tstan@altera.com Bakhtiar Affendi Rosdi

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Model homogenization for power estimation and design exploration

Model homogenization for power estimation and design exploration + Rabie Ben Atitallah, Associate Professor Université de Lille Nord de France Université de Valenciennes, LAMIH INRIA Lille, DaRT team rabie.benatitallah@univ-valenciennes.fr http://www.lifl.fr/~benatita/

More information

MARTE based design approach for targeting Reconfigurable Architectures

MARTE based design approach for targeting Reconfigurable Architectures MARTE based design approach for targeting Reconfigurable Architectures Imran Rafiq Quadri, Samy Meftali, Jean-Luc Dekeyser To cite this version: Imran Rafiq Quadri, Samy Meftali, Jean-Luc Dekeyser. MARTE

More information

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning

The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning 1 The Architects View Framework: A Modeling Environment for Architectural Exploration and HW/SW Partitioning Tim Kogel European SystemC User Group Meeting, 12.10.2004 Outline 2 Transaction Level Modeling

More information

GpuWrapper: A Portable API for Heterogeneous Programming at CGG

GpuWrapper: A Portable API for Heterogeneous Programming at CGG GpuWrapper: A Portable API for Heterogeneous Programming at CGG Victor Arslan, Jean-Yves Blanc, Gina Sitaraman, Marc Tchiboukdjian, Guillaume Thomas-Collignon March 2 nd, 2016 GpuWrapper: Objectives &

More information

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano Modeling and Simulation of System-on on-chip Platorms Donatella Sciuto 10/01/2007 Politecnico di Milano Dipartimento di Elettronica e Informazione Piazza Leonardo da Vinci 32, 20131, Milano Key SoC Market

More information

Thermal Modeling and Active Cooling

Thermal Modeling and Active Cooling Thermal Modeling and Active Cooling for 3D MPSoCs Prof. David Atienza, Embedded Systems Laboratory (ESL), EE Institute, Faculty of Engineering MPSoC 09, 2-7 August 2009 (Savannah, Georgia, USA) Thermal-Reliability

More information

Towards the integration of security patterns in UML Component-based Applications

Towards the integration of security patterns in UML Component-based Applications Towards the integration of security patterns in UML Component-based Applications Anas Motii 1, Brahim Hamid 2, Agnès Lanusse 1, Jean-Michel Bruel 2 1 CEA, LIST, Laboratory of Model Driven Engineering for

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning By: Roman Lysecky and Frank Vahid Presented By: Anton Kiriwas Disclaimer This specific

More information

MPSoC Design Space Exploration Framework

MPSoC Design Space Exploration Framework MPSoC Design Space Exploration Framework Gerd Ascheid RWTH Aachen University, Germany Outline Motivation: MPSoC requirements in wireless and multimedia MPSoC design space exploration framework Summary

More information

Designing HIPAOC : High Performance Architecture On Chip. By:- Anvesh Polepalli Prashant Ahir

Designing HIPAOC : High Performance Architecture On Chip. By:- Anvesh Polepalli Prashant Ahir Designing HIPAOC : High Performance Architecture On Chip By:- Anvesh Polepalli Prashant Ahir 2 Outline Background Introduction HIPAOC Description Host Design HIPAOC Design System Controller (SC) SC/PU

More information

Approximate Computing with Runtime Code Generation on Resource-Constrained Embedded Devices

Approximate Computing with Runtime Code Generation on Resource-Constrained Embedded Devices Approximate Computing with Runtime Code Generation on Resource-Constrained Embedded Devices WAPCO HiPEAC conference 2016 Damien Couroussé Caroline Quéva Henri-Pierre Charles www.cea.fr Univ. Grenoble Alpes,

More information

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17,

Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms. SAMOS XIV July 14-17, Co-Design of Many-Accelerator Heterogeneous Systems Exploiting Virtual Platforms SAMOS XIV July 14-17, 2014 1 Outline Introduction + Motivation Design requirements for many-accelerator SoCs Design problems

More information

Embedded Systems: Projects

Embedded Systems: Projects November 2016 Embedded Systems: Projects Davide Zoni PhD email: davide.zoni@polimi.it webpage: home.dei.polimi.it/zoni Contacts & Places Prof. William Fornaciari (Professor in charge) email: william.fornaciari@polimi.it

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Ronan LUCAS (Magillem) Philippe CUENOT (Continental) Accellera Systems Initiative 1 Agenda

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators

A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators Giuseppe Tagliavini, DEI University of Bologna Germain Haugou, IIS ETHZ Andrea Marongiu, DEI University of Bologna & IIS

More information

Efficient Hardware Acceleration on SoC- FPGA using OpenCL

Efficient Hardware Acceleration on SoC- FPGA using OpenCL Efficient Hardware Acceleration on SoC- FPGA using OpenCL Advisor : Dr. Benjamin Carrion Schafer Susmitha Gogineni 30 th August 17 Presentation Overview 1.Objective & Motivation 2.Configurable SoC -FPGA

More information

A Fast Timing-Accurate MPSoC HW/SW Co-Simulation Platform based on a Novel Synchronization Scheme

A Fast Timing-Accurate MPSoC HW/SW Co-Simulation Platform based on a Novel Synchronization Scheme A Fast Timing-Accurate MPSoC HW/SW Co-Simulation Platform based on a Novel Synchronization Scheme Mingyan Yu, Junjie Song, Fangfa Fu, Siyue Sun, and Bo Liu Abstract Fast and accurate full-system simulation

More information

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG International Journal of Mechanical Engineering and Technology (IJMET) Volume 8, Issue 5, May 2017, pp. 588 595, Article ID: IJMET_08_05_065 Available online at http://www.ia aeme.com/ijmet/issues.asp?jtype=ijmet&vtyp

More information

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip

An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip An Efficient AXI Read and Write Channel for Memory Interface in System-on-Chip Abhinav Tiwari M. Tech. Scholar, Embedded System and VLSI Design Acropolis Institute of Technology and Research, Indore (India)

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

Vivado HLx Design Entry. June 2016

Vivado HLx Design Entry. June 2016 Vivado HLx Design Entry June 2016 Agenda What is the HLx Design Methodology? New & Early Access features for Connectivity Platforms Creating Differentiated Logic 2 What is the HLx Design Methodology? Page

More information

Using UPF for Low Power Design and Verification

Using UPF for Low Power Design and Verification Using UPF for Low Power Design and Verification Tutorial #2: presented by members of the IEEE P1801 WG John Biggs Erich Marschner Sushma Honnavara-Prasad David Cheng Shreedhar Ramachandra Jon Worthington

More information

Model-based control of a handling system with SysML

Model-based control of a handling system with SysML Available online at www.sciencedirect.com Procedia Computer Science 16 (2013 ) 197 205 Conference on Syst Eds.: C.J.J. Paredis, C. Bishop, D. Bodner, Georgia Institute of Technology, Atlanta, GA, March

More information

Improving Parallel MPSoC Simulation Performance by Exploiting Dynamic Routing Delay Prediction

Improving Parallel MPSoC Simulation Performance by Exploiting Dynamic Routing Delay Prediction Improving Parallel MPSoC Simulation Performance by Exploiting Dynamic Routing Delay Prediction Christoph Roth, Harald Bucher, Simon Reder, Oliver Sander, Jürgen Becker KIT University of the State of Baden-Wuerttemberg

More information

Hardware-Software Codesign

Hardware-Software Codesign Hardware-Software Codesign 8. Performance Estimation Lothar Thiele 8-1 System Design specification system synthesis estimation -compilation intellectual prop. code instruction set HW-synthesis intellectual

More information

2PARMA Project and P2012 Platform

2PARMA Project and P2012 Platform 2PARMA Project and P2012 Platform REFLECT and 2PARMA Fall 2012 School: Programming Paradigms for Multi-- Core Embedded Systems October 2, 2012 Prof. William Fornaciari Politecnico di Milano fornacia@elet.polimi.it

More information

SoC Design Environment with Automated Configurable Bus Generation for Rapid Prototyping

SoC Design Environment with Automated Configurable Bus Generation for Rapid Prototyping SoC esign Environment with utomated Configurable Bus Generation for Rapid Prototyping Sang-Heon Lee, Jae-Gon Lee, Seonpil Kim, Woong Hwangbo, Chong-Min Kyung P PElectrical Engineering epartment, KIST,

More information

ECE 448 Lecture 15. Overview of Embedded SoC Systems

ECE 448 Lecture 15. Overview of Embedded SoC Systems ECE 448 Lecture 15 Overview of Embedded SoC Systems ECE 448 FPGA and ASIC Design with VHDL George Mason University Required Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 8, Overview of Embedded

More information

NVIDIA Think about Computing as Heterogeneous One Leo Liao, 1/29/2106, NTU

NVIDIA Think about Computing as Heterogeneous One Leo Liao, 1/29/2106, NTU NVIDIA Think about Computing as Heterogeneous One Leo Liao, 1/29/2106, NTU GPGPU opens the door for co-design HPC, moreover middleware-support embedded system designs to harness the power of GPUaccelerated

More information

THE COMPARISON OF PARALLEL SORTING ALGORITHMS IMPLEMENTED ON DIFFERENT HARDWARE PLATFORMS

THE COMPARISON OF PARALLEL SORTING ALGORITHMS IMPLEMENTED ON DIFFERENT HARDWARE PLATFORMS Computer Science 14 (4) 2013 http://dx.doi.org/10.7494/csci.2013.14.4.679 Dominik Żurek Marcin Pietroń Maciej Wielgosz Kazimierz Wiatr THE COMPARISON OF PARALLEL SORTING ALGORITHMS IMPLEMENTED ON DIFFERENT

More information

A holistic Pre-to-Post solution for Post-Si validation of SoC s

A holistic Pre-to-Post solution for Post-Si validation of SoC s A holistic Pre-to-Post solution for Post-Si validation of SoC s Yael Abarbanel yael.abarbanel@intel.com Eli Singerman eli.singerman@intel.com Sean Baartmans sean.baartmans@intel.com DAC 2011 User Track

More information

MPJ Express Meets YARN: Towards Java HPC on Hadoop Systems

MPJ Express Meets YARN: Towards Java HPC on Hadoop Systems Procedia Computer Science Volume 51, 2015, Pages 2678 2682 ICCS 2015 International Conference On Computational Science : Towards Java HPC on Hadoop Systems Hamza Zafar 1, Farrukh Aftab Khan 1, Bryan Carpenter

More information

Optimizing DMA Data Transfers for Embedded Multi-Cores

Optimizing DMA Data Transfers for Embedded Multi-Cores Optimizing DMA Data Transfers for Embedded Multi-Cores Selma Saïdi Jury members: Oded Maler: Dir. de these Ahmed Bouajjani: President du Jury Luca Benini: Rapporteur Albert Cohen: Rapporteur Eric Flamand:

More information

Performance Verification for ESL Design Methodology from AADL Models

Performance Verification for ESL Design Methodology from AADL Models Performance Verification for ESL Design Methodology from AADL Models Hugues Jérome Institut Supérieur de l'aéronautique et de l'espace (ISAE-SUPAERO) Université de Toulouse 31055 TOULOUSE Cedex 4 Jerome.huges@isae.fr

More information

Message Passing Improvements to Shared Address Space Thread Synchronization Techniques DAN STAFFORD, ROBERT RELYEA

Message Passing Improvements to Shared Address Space Thread Synchronization Techniques DAN STAFFORD, ROBERT RELYEA Message Passing Improvements to Shared Address Space Thread Synchronization Techniques DAN STAFFORD, ROBERT RELYEA Agenda Background Motivation Remote Memory Request Shared Address Synchronization Remote

More information

Design Space Exploration Using Parameterized Cores

Design Space Exploration Using Parameterized Cores RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR Design Space Exploration Using Parameterized Cores Ian D. L. Anderson M.A.Sc. Candidate March 31, 2006 Supervisor: Dr. M. Khalid 1 OUTLINE

More information

Communication Oriented Design Flow

Communication Oriented Design Flow ARTIST2 http://www.artist-embedded.org/fp6/ ARTIST Workshop at DATE 06 W4: Design Issues in Distributed, Communication-Centric Systems Communication Oriented Design Flow Marcello Coppola Head of AST Grenoble

More information

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH Chevella Anilkumar 1, K Venkateswarlu 2 1.2 ECE Department, JNTU HYDERABAD(INDIA) ABSTRACT RISC-V (pronounced "risk-five") is a new, open, and completely

More information

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM Comprehensive AMS Verification using Octave, Real Number Modelling and UVM John McGrath, Xilinx, Cork, Ireland (john.mcgrath@xilinx.com) Patrick Lynch, Xilinx, Dublin, Ireland (patrick.lynch@xilinx.com)

More information

A SystemC TLM Framework for Distributed Simulation of Complex Systems with Unpredictable Communication

A SystemC TLM Framework for Distributed Simulation of Complex Systems with Unpredictable Communication A SystemC TLM Framework for Distributed Simulation of Complex Systems with Unpredictable Communication Julien Peeters, Nicolas Ventroux, Tanguy Sassolas, Lionel Lacassagne CEA, LIST, Embedded Computing

More information

Introduction to Multiprocessors (Part I) Prof. Cristina Silvano Politecnico di Milano

Introduction to Multiprocessors (Part I) Prof. Cristina Silvano Politecnico di Milano Introduction to Multiprocessors (Part I) Prof. Cristina Silvano Politecnico di Milano Outline Key issues to design multiprocessors Interconnection network Centralized shared-memory architectures Distributed

More information

Hardware in the Loop Functional Verification Methodology

Hardware in the Loop Functional Verification Methodology OMG's Third Software-Based Communications Workshop: Realizing the Vision Hardware in the Loop Functional Verification Methodology by Pascal Giard Jean-François Boland, Jean Belzile M.Ing. Student École

More information

Self-optimisation using runtime code generation for Wireless Sensor Networks

Self-optimisation using runtime code generation for Wireless Sensor Networks Self-optimisation using runtime code generation for Wireless Sensor Networks ComNet-IoT Workshop ICDCN 16 Singapore Caroline Quéva Damien Couroussé Henri-Pierre Charles www.cea.fr Univ. Grenoble Alpes,

More information

PyMTL: A Python-Based Framework for Hardware Modeling

PyMTL: A Python-Based Framework for Hardware Modeling : A Python-Based Framework for Hardware Modeling Shunning Jiang and Shuang Chen Computer Systems Laboratory School of Electrical and Computer Engineering Cornell University : A Python-Based Framework for

More information

Flexible MPSoC Platform with Fast Interconnect Exploration for Optimal System Performance for a Specific Application

Flexible MPSoC Platform with Fast Interconnect Exploration for Optimal System Performance for a Specific Application Flexible MPSoC Platform with Fast Interconnect Exploration for Optimal System Performance for a Specific Application Florin Dumitrascu, Iuliana Bacivarov, Lorenzo Pieralisi, Marius Bonaciu, Ahmed A. Jerraya

More information

Evaluation of Runtime Task Mapping Heuristics with rsesame - A Case Study

Evaluation of Runtime Task Mapping Heuristics with rsesame - A Case Study Evaluation of Runtime Task Mapping Heuristics with rsesame - A Case Study Kamana Sigdel Mark Thompson Carlo Galuzzi Andy D. Pimentel Koen Bertels Computer Engineering Laboratory EEMCS, Delft University

More information

QEMU and SystemC. Màrius Màrius Montón

QEMU and SystemC. Màrius Màrius Montón QEMU and SystemC March March 2011 2011 QUF'11 QUF'11 Grenoble Grenoble Màrius Màrius Montón Outline Introduction Objectives Virtual Platforms and SystemC Checkpointing for SystemC Conclusions 2 Introduction

More information

Available online at ScienceDirect. Procedia Computer Science 89 (2016 )

Available online at  ScienceDirect. Procedia Computer Science 89 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 89 (2016 ) 180 186 Twelfth International Multi-Conference on Information Processing-2016 (IMCIP-2016) A Perspective on

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

Near-Data Processing for Differentiable Machine Learning Models

Near-Data Processing for Differentiable Machine Learning Models Near-Data Processing for Differentiable Machine Learning Models Hyeokjun Choe 1, Seil Lee 1, Hyunha Nam 1, Seongsik Park 1, Seijoon Kim 1, Eui-Young Chung 2 and Sungroh Yoon 1,3 1 Electrical and Computer

More information

Best Practices of SoC Design

Best Practices of SoC Design Best Practices of SoC Design Electronic Design Process Symposium 2014 Kurt Shuler Vice President Marketing, Arteris kurt.shuler@arteris.com Copyright 2014 Arteris Arteris Snapshot Founded in 2003; headquarters

More information

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network

Outline. SLD challenges Platform Based Design (PBD) Leveraging state of the art CAD Metropolis. Case study: Wireless Sensor Network By Alberto Puggelli Outline SLD challenges Platform Based Design (PBD) Case study: Wireless Sensor Network Leveraging state of the art CAD Metropolis Case study: JPEG Encoder SLD Challenge Establish a

More information

DATA-MANAGEMENT DIRECTORY FOR OPENMP 4.0 AND OPENACC

DATA-MANAGEMENT DIRECTORY FOR OPENMP 4.0 AND OPENACC DATA-MANAGEMENT DIRECTORY FOR OPENMP 4.0 AND OPENACC Heteropar 2013 Julien Jaeger, Patrick Carribault, Marc Pérache CEA, DAM, DIF F-91297 ARPAJON, FRANCE 26 AUGUST 2013 24 AOÛT 2013 CEA 26 AUGUST 2013

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

MIPI Alliance Overview

MIPI Alliance Overview MIPI Alliance Overview Joel Huloux ST-Ericcson Chairman, MIPI Alliance June 16, 2010 1 MIPI Alliance Overview Open membership organization creates interface specifications aiding the development and interoperability

More information

System Level Assessment of an Optical NoC in an MPSoC Platform

System Level Assessment of an Optical NoC in an MPSoC Platform System Level Assessment of an Optical NoC in an MPSoC Platform M. Brière, B. Girodias, Y. Bouchebaba, G. Nicolescu École Polytechnique de Montréal Montréal Canada F. Mieyeville, F. Gaffiot, I. O'Connor

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information