An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time

Size: px
Start display at page:

Download "An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time"

Transcription

1 38 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 1, JANUARY 2017 An On-Chip Error Detection Method to Reduce the Post-Silicon Debug Time Hyunggoy Oh, Taewoo Han, Inhyuk Choi, and Sungho Kang, Member, IEEE Abstract Debug time has become a major issue in post silicon debug because of the increasingly complicated nature of circuit design. However, reducing debug time is a major challenge because of the limited size of the trace buffer used to observe internal signals in the circuit. This study proposes an on-chip error detection method to overcome this challenge. The on-chip process detects the error-suspect window using the pre-calculated golden data stored in the trace buffer. This allows the selective compaction and capture of the debug data in the trace buffer during the error-containing interval. As a result, reducing the number of debug sessions significantly reduces the total debug time. The experimental results on various debug cases show significant reductions in total debug time compared to previous work. Index Terms Post-silicon debug, MISR compaction, trace buffer, debug time 1 INTRODUCTION Ç DEVELOPMENTS in semiconductor technology have allowed the integration of a larger number of components into a single chip, such as a system-on-chip (SOC). However, the demand for new features increasingly leads to errors in the circuit and can increase the time to market. Therefore, it is imperative to ensure that the circuit is error-free in order to satisfy the time-to-market requirements. Previous research has focused on pre-silicon verification such as formal verification and simulation to help designers to efficiently detect errors in a circuit [1], [2], [3]. Although these techniques are widely applied to hardware modeling during the implementation process, it is nearly impossible to eliminate errors such as logical and electrical errors in the first silicon as the technologies decreases in physical size. Logical errors are related to designer mistakes caused by the complexity of the design. On the other hand, electrical errors occur in certain electrical environments during normal operation [4] and are difficult to detect during pre-silicon verification [5]. It is important to eliminate these errors at the earliest after the arrival of the first silicon to avoid the increased cost caused by a silicon respin [6]. As a result, the post-silicon debug has emerged as an important part of the implementation flow [7], [8], [9]. The post-silicon debug generally comprises two different phases: non-deterministic and deterministic [10], [11]. In the nondeterministic phase, bug occurrences cannot be reproduced because of non-deterministic input sources such as asynchronous interfaces, interrupts from peripherals, or mixed signal circuitry. The main objective in this phase is to determine how to control the failure. When the failure is controllable, the debug environment can be cycle-accurate deterministic. In this deterministic phase, the main goal is to detect the root cause in terms of space (the erroneous logic) and time (the exact clock cycle when the bug occurs) information as quickly as possible using golden data calculated via simulation using the behavioral model of the circuit [10], [12], [13]. Real-time signal tracing methods were researched to support each debug phase [8], [10], [11], [12], [14]. These approaches H. Oh, I. Choi, and S. Kang are with the Department of Electrical and Electronics Engineering, Yonsei University, Seoul, Korea. {kyob508, ihchoi}@soc.yonsei.ac.kr, shkang@yonsei.ac.kr. T. Han is with the Department of SOC Design Team, Samsung Electronics, Gyeonggi-do, Korea. twhan@soc.yonsei.ac.kr. Manuscript received 31 Aug. 2015; revised 14 Apr. 2016; accepted 27 Apr Date of publication 2 May 2016; date of current version 19 Dec Recommended for acceptance by K.Chakrabarty. For information on obtaining reprints of this article, please send to: reprints@ieee. org, and reference the Digital Object Identifier below. Digital Object Identifier no /TC include an embedded logic analyzer consisting of trigger units, a sample unit, and an offload unit. The trigger unit determines the start or end point for observing the circuit states, and the traced debug data are captured via the sample unit, which includes an on-chip trace buffer, such as embedded memory. Finally, the captured data are unloaded from the internal debug module to the external workstation through the offload unit, and the debug data are analyzed to detect the error via the debug software. The trace buffer-based technique allows acquisition of real-time data. However, the major problem in the trace buffer-based technique is the limited observability, because the size of the trace buffer results in design for debug (DfD) hardware overhead. This limitation results in a large requirement with respect to debug time. In addition, unlike the non-deterministic debug phase which requires certain debug cases, the functional tests for very long debug cycles are performed repetitively in the deterministic debug phase. Hence, a technique that acquires a considerably higher amount of debug data information given the limited capacity of the trace buffer is strongly required in the deterministic debug phase. An on-chip error detection method is proposed in this study to improve the capacity of the trace buffer. The main contributions of this paper are the following: The empty area of the trace buffer was re-used in this study to reduce the debug time with a negligible hardware overhead. As storing the pre-calculated golden data in the empty area, the debug data can be analyzed in real-time and the additional debug data can be stored in the trace buffer. To support the on-chip method efficiently, a new compaction technique is proposed. To exploit the fact that the on-chip analysis can detect the erroneous intervals in real-time, the proposed debug module can compress the erroneous intervals selectively. A new architectural feature using two multiple-input signature registers (MISRs) is proposed to perform the onchip method using the selective compaction. Furthermore, the debug scheduling algorithm and the post-debug analysis are described to perform the debug process properly. Section 2 provides a review of the related work. Section 3 discusses the iterative debug method with on-chip error detection, and Section 4 describes the experimental results for various debug cases. Finally, conclusions are presented in Section 5. 2 RELATED WORK A debug architecture using content-addressable memory (CAM) has been researched to compress the real-time debug data to improve the capacity of the trace buffer in the non deterministic debug phase [15]. As this compaction technique is based on different dictionary coding algorithms, the compaction ratio is insignificant and strongly dependent on how correlated the debug data are. Nevertheless, this technique can still be useful in achieving the debug data when the debug phase is non-deterministic. A compaction technique using a MISR has been researched in the deterministic debug phase [10]. In this technique, the whole target observation window is compacted in the trace buffer as signatures. After transferring the captured data to an external workstation, the captured signatures are analyzed to detect the time intervals in which the erroneous data are captured. This is carried out by comparing the captured signals to the golden signatures calculated by simulating the behavioral model of the circuit. In the following debug session, the set of error-suspect windows is compacted, and the error-suspect window is investigated until the specific error cycles are detected. The compacting of the long debug cycles to a signature and the detection of the error cycles in the errorsuspect window improve the debug time of this debug process ß 2016 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

2 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 1, JANUARY Fig. 1. The debug flow of the on-chip error detection method. compared to that of the conventional debug method. However, this method still requires many debug sessions to detect error cycles because the compaction ratio is fixed at the size of the trace buffer. In [12], a selective debug capture method that requires three debug sessions using 2-D compaction is proposed. In the first session, the estimated error rate is calculated with a parity generator. The error-suspect clock cycles are then determined via 2-D compaction in the second session. Finally, the erroneous debug data are captured with pre-calculated tag bits. With this three-pass methodology, the method significantly expands the observation window. However, this method has some limitations with regard to employment in the various debug experiments. First, there is a chance that more debug sessions will be required since the first step, which estimates the error rate, is strongly dependent on the error distribution case. Furthermore, this method can only be applied to short debug cycles because the ability of 2-D compaction to detect the error cycles is increasingly inaccurate as the size of the target observation window increases. Consequently, this method is only suitable for cases with a short debug time or as a supplementary technique to other debug methods for cases with long debug times. This paper proposes an on-chip error detection method to reduce the total debug time in a cycle-accurate deterministic debug environment by improving an MISR compaction technique [10]. It should be noted that the proposed idea is also applicable to the deterministic debug phase similar to that in a previous study [10]. The main concept of the proposed method includes the performance of the process to twice detect the error-suspect cycles, both on-chip and off-chip. This contrasts with the existing methods in which the error detection process is performed outside the chip after the end of the debug experiment. Furthermore, the debug data for the error-suspect window can be compacted selectively with two MISRs to more rapidly detect the erroneous cycles by applying the on-chip error detection. 3 PROPOSED ITERATIVE DEBUG METHOD WITH ON-CHIP ERROR DETECTION In [10], an iterative error detection method to detect the erroneous cycles of a long observation window using MISR compaction is introduced. This study further expands on this method by first explaining the concept of the debug framework using an on-chip error detection method. Then, a selective compaction technique that supplements the on-chip method to significantly reduce the debug time. In addition, the debug scheduling algorithm and postdebug analysis are described. Finally, the hardware architecture of the proposed debug module is introduced. Fig. 2. Examples of error detection methods using MISR compaction. (a) The previous method. (b) The proposed method. 3.1 The Debug Framework Using an On-Chip Error Detection Method An on-chip error detection is proposed to reduce the number of debug sessions in this paper. The debug flow of the on-chip error detection method is illustrated in Fig. 1. In contrast to the method in the previous work, the proposed method provides two error detection processes: on-chip and off-chip ((4) and (8) in Fig. 1) and more rapidly detects the error cycles. First, the golden signatures (GSs) are generated in the debug configuration step. Since the debug phase is deterministic and the debug data are predetermined, the GS of the debug data can be acquired. When the debug process starts with the configuration, such as the trigger event condition and debug data selection, GS are uploaded to the trace buffer by a serial interface (e.g., JTAG). After the functional operation starts with deterministic input data, the debug process will be started when the trigger events are performed. During the debug phase, the debug data during certain cycles are compacted via MISR and are compared to GS in order to detect an erroneous interval in real-time. If these signature value are the same, it is not necessary to observe the data during those cycles. However, if not, the data should be analyzed to detect the error cycles. To check the on-chip analysis result, only one bit is required per GS (where 1 indicates a failure, and 0 indicates that there is no failure). This bit is called a tag bit in this paper. The tag bits are captured in the tag bit register and the additional debug data can be captured to the trace buffer by overwriting the area of the trace buffer in which GSs are stored because they are no longer needed. At the final debug level, the erroneous debug data that are identified by the on-chip analysis are captured. Therefore, the additional debug data can be captured to the trace buffer using the space remaining after the erroneous data have been captured. After the debug session is completed, the captured data in the trace buffer and tag bit register are transferred to the workstation (off-chip) and analyzed to determine the error-suspect cycle in a process called post-debug analysis. A simple comparison between the previous and proposed methods is provided in Fig. 2. In this example, eight failure signatures are identified at the previous debug level, and it is assumed that four failure signatures can be compacted to the trace buffer, which means that the segmentation size of the trace buffer is four. If only post-debug analysis is performed, two debug sessions are required to capture the debug data. The debug data during the first

3 40 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 1, JANUARY 2017 TABLE 1 Notation for Debug Experiments Name N M GS RS SS SE TP S SNG SPRS i SPSS i DS i T CUD Representation The length of the observation window Trace buffer depth Golden signature Reference signature Segmented signature Segmentation variable Trigger point The number of segments in the trace buffer Standard number to the golden signatures Samples per reference signature at debug level i Samples per segmented signature at debug level i Total number of debug sessions for the CUD Time for running debug sessions on the CUD four failure signatures (signatures for 14) are acquired in the trace buffer during debug session 1 and the data for the last four failure signatures (signatures for 58) are acquired during debug session 2 as described in Fig. 2 (a). Conversely, if the on-chip analysis is performed, the debug data for signatures for 14 can be analyzed via the on-chip method and the results can be encoded in the form of tag bits, as described in Fig. 2(b). Furthermore, after the on-chip analysis, the debug data for signatures for 58 can be acquired in the trace buffer without stopping the debug session. As a result, the debug information for signatures for 18 can be acquired during only 1 debug session. 3.2 A Selective Compaction Technique to Reduce the Error-Suspect Window As previously explained in Section 3.1, the on-chip analysis can detect the erroneous interval with GS in real-time. To exploit this fact, a new compaction technique which compresses the erroneous interval selectively with high ratio is proposed to reduce the debug sessions much more in this section. For better understanding, the notations are given in Table 1, which are similar to those used in [10]. First, GSs are stored in the trace buffer and the debug data are compacted via two MISRs. The first MISR, termed MISR 1, has the same compaction ratio as the GS, and the second MISR, termed MISR 2, has a higher compaction ratio in order to focus on the debug interval. The ratio is defined as the segmentation variable (SE). The signature compacted by MISR 1, i.e., the reference signature (RS), is analyzed to determine whether or not the interval is erroneous by comparing it with GS in real-time. During analyzing the GS, the SE number of signatures, i.e., segmented signatures (SS), are generated by the MISR 2 and are captured to the overwritable area in the trace buffer. As previously explained, the overwritable area is the area occupied by GS that have already been used to analyze RS and so are no longer needed. To prevent the situation that the first RS is failure, the SE number of GS are captured to the golden register whose size is as much as SE after the debug process starts. GS is captured to the golden register after the comparison with RS ends. If RS does not indicate a failure, the area of captured SS can be overwritable because they are also error-free. The next RS is then analyzed through comparison to the next GS. On the other hand, if RS indicates a failure, the area of captured SS should be stored and the overwritable area is reduced by as much as SE. After that, the next GS is analyzed in a similar manner. Hence, the results of the on-chip analysis are captured as the type of the tag bit and the erroneous intervals can be compacted with higher ratio, SE. After the on-chip analysis ends, the debug data can be obtained more until the trace buffer is full as explained in Section 3.1. Fig. 3 illustrates examples of the selective compaction technique for each debug case. To help understand, a simple debug case is used where samples per RS (SPRS) is 100 cycles, samples per SS (SPSS) is 50 cycles, that is SE is 2. If RS is error-free, the area of Fig. 3. Examples of the selective compaction technique in the debug case where (a) RS is error-free. (b) RS is error-suspect. (c) RS is error-suspect and overwritable area is insufficient. captured SSs can be overwritable because they are also error-free as described in Fig. 3a. And then the tag bit is generated as 0. On the other hand, if RS is error-suspect, the captured SS should be stored in the trace buffer and the tag bit is stored as 1 as described in Fig. 3b. In this process, the error-suspect interval can be selectively compacted more and the error-suspect window can be detected faster than the previous work. However, the overwritable area might be insufficient to capture all SS in some cases. In this case, only as many SSs as can fill the overwritable area are captured. This is described in Fig. 3c. If RSs for and cycles were failure, SS for , , , and cycles were stored in the trace buffer. Consequently, SS for can be only captured. However, these cases do not mean the proposed method cannot perform properly but just affect the quality of the selective compaction because the results of the on-chip analysis are still stored as a tag bit. In this case, we can only check these cycle interval ( cycles) whether it is error-suspect or not and the remained interval ( cycles) should be error-suspect during the post-debug analysis. It should be noted that, for the post-silicon debug, in which pre-silicon verification and a manufacturing test were

4 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 1, JANUARY already passed, errors may occur at some corner cases and the error rate is very low. As a result, the overwritable area might be achievable in numerous cases. As describe above, these worst cases are strongly related to the error distribution and error rate. Therefore, the quality of the selective compaction is demonstrated with various debug cases in Section Debug Scheduling Algorithm and Post-Debug Analysis In order to perform the debug run, the debug scheduling performed before the start of the debug and the post-debug analysis performed after the end of the debug are introduced. The scheduling algorithm determines the total number of debug sessions (DS) and circuit running time ðt CUD Þ. It is described in Algorithm 1. At the first debug level, SPRS 0 ¼ N=M, and SPSS 0 ¼ SPRS 0 =SE. At this time, DS is set to 1, and T CUD is equal to N. The standard number for the golden signatures (SNG) is set to (M / S) /SE, which means that the size of the segmented trace buffer is divided by SE (line 2). AS SNG represents the number of SSs that can be captured to the trace buffer, this standard determines SPRS i and SPSS i of each debug level. This algorithm is repeated until the debug level does not reach the final level (line 3). The computation for each debug level can be explained as follows (lines 4 11): Algorithm 1. Scheduling of the Whole Debug Experiment Input: M, S, SE, SNG, SPRS 0 and SPSS 0 Output: DS and T CUD 1 SPRS 0 ¼ N/M; SPSS 0 ¼ SPRS 0 /SE; DS ¼ 1; T CUD ¼ N; i ¼ 0; 2 SNG ¼ (M/S)/SE; 3 while (Final debug level not reached) do 4 if (SPSS i > SNG) then 5 SPRS iþ1 ¼ SPSS i =SNG; 6 if (selective compaction possible) then 7 SPSS iþ1 ¼ SPRS i /SE; 8 else 9 SPSS iþ1 ¼ 1; 10 else 11 SPRS iþ1 ¼ 1, SPSS iþ1 ¼ 1; 12 Run debug experiment with SPRS i and SPSS i ; 13 Detect the error interval with on-chip and post debug analysis; 14 Update DS, T CUD during the current debug level 15 iþþ; 16 end 17 Run the final debug level; 18 Update DS, T CUD at the final debug level; 19 return DS, T CUD ; If SPSS i > than SNG, then SPRS iþ1 ¼ SPSS i /SNG. In addition, SPSS iþ1 ¼ SPRS i /SE when SPRS i /SE > 1, which means that selective compaction can be used to adapt the debug experiment. If not, SPSS iþ1 is 1 because the selective compaction cannot be further adapted. If SPSS i < SNG, the debug level moves to the final level with SPRS iþ1, and SPSS iþ1 ¼ 1. After the computation, the debug experiment is performed with the calculated SPRS i and SPSS i. After the experiment ends at the current debug level, DS and T CUD are updated, and the debug experiment for the next level is performed by increasing the debug level (line 15). If the debug level is final, the erroneous debug data is captured with the on-chip analysis, and the additional debug data is captured to detect the erroneous data during the post-debug analysis, as explained in Section 3.1. After the debug process is complete, DS and T CUD are calculated and returned at the end of the algorithm (line 19). Post-debug analysis is performed with the result data of the onchip analysis on a workstation. It is described in Fig. 4. Basically, the captured data in the trace buffer are divided into two sets (SSs which are identified via the on-chip method and the additional Fig. 4. The process of the post-debug analysis with the result data of the onchip analysis. debug data captured after the on-chip method). If the debug level is non-final, it is important to determine the required number of SSs per GS and the total number of SSs. As described in Section 3.2, the number of captured SSs during analyzing GS can vary with the size of the overwritable area and the overwritable area can be computed by the sequence of the tag bits. As a result, the SSs can be identified using the tag bit data, the GS set for the on-chip analysis, and the trace buffer data. To analyze the errorsuspect window, SSs are compared to GSs generated using the same compaction ratio, SPSS i, in the workstation. The error-suspect window is then determined based on the current trigger point and the set of failure signatures with SPSS i, and the TP for the next debug session can be acquired. After analyzing the SSs, the additional debug data set can be obtained in the same manner. The error-suspect window is also determined by comparing the additional data and the GSs, but their compaction ratio is SPRS i. Consequently, the next TP is computed by dividing the error-suspect window by SE. In this way, the error-suspect window of each debug session at the non-final debug level is determined. At the final debug level, the number of tag bits indicates the number of erroneous data. Consequently, the erroneous data set can be required by the trace buffer data, tag bit data, and the current level TP, which indicates the interval analyzed by the on-chip method. After identifying the erroneous data set using the on-chip method, the additional debug data are analyzed in the post-debug analysis. Because the amount of the additional debug data is the remaining data in the trace buffer, representing the difference in the trace buffer data and the number of tag bits, the erroneous data can be determined by comparing the pre-calculated golden data on the work station. In this way, the erroneous data of each session at the final debug level can be obtained. 3.4 The Hardware Architecture of the Proposed Debug Module The hardware architecture of the proposed on-chip method is illustrated in Fig. 5. The debug configuration module is controlled via a low-bandwidth interface such as JTAG during the configuration step. This configuration module controls the starting points of the

5 42 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 1, JANUARY 2017 TABLE 2 Debug Time Reduction Ratio Comparison for Different Standard Deviations and Error Rates Fig. 5. Hardware architecture of the proposed debug module of the on-chip method. debug process, debug data, and the trace buffer. In addition, the GSs are stored in the trace buffer, the trigger points are established in the trigger point register, and the compaction ratios of the two MISRs are determined in this module. After the start of the debug process, the GSs are captured to the golden register up to the size of SE. Following the comparison between the GS and RS via the comparator, the result is stored to the tag bit register as a tag bit as discussed previously. The size of the tag bit register is the same as the trace buffer depth. To control the trace buffer during the onchip process, a finite state machine (FSM) is added to the proposed module. During the on-chip analysis, the FSM receives the result from the comparator and controls the write and read addresses to capture SSs to the trace buffer and GS to the golden register. In addition, the FSM computes the overwritable area in real-time using the write and read addresses to avoid overwriting GSs that have not yet been analyzed. After the on-chip process ends, the FSM computes the difference between the write and read addresses to ensure the remaining area in the trace buffer and to capture the additional debug data. The data in the tag bit register and the trace buffer are transferred to the external workstation via the low-bandwidth interface and analyzed to detect the errorsuspect window and the error data. 4 EXPERIMENTAL RESULTS This section discusses the experimental results in terms of debug time and the hardware area of the debug module to illustrate how the proposed method improves the previous work [10]. The experimental results are presented for an ARM-based processor design [16] and a MP3 audio decoder [10] to facilitate a comparison of the results. Each debug module designed as a Verilog RTL model is synthesized using a 130 nm ASIC standard cell library to estimate the area size. A 32-bit data bus is assumed as the debug data in the ARM-based design and the output of the decoder is used to collect the debug data of the MP3 decoder. Faults were randomly injected in circuits to produce misbehavior. The concepts of on-chip sampling and communication times [10] are used to compute the debug execution time. The on-chip sampling time is related to the clock cycles that elapse from the trigger point until the debug session ends, and the communication time is the time during which the debug data are offloaded through the JTAG interface. According to [10], the total debug time of sequential debug case and the previous method is calculated as Standard deviation (s) Error rate (%) Debug time reduction ratio (T seq /T prop(prev) ) Proposed [10] SE ¼ 1 SE¼ 2 SE¼ 4 ARM Based Design [16] MP3 Decoder [10] follows. The sequential debug case means no compaction technique is applied during the debug experiment. Note that L is the width of the trace buffer. T seq ¼ð1þN=MÞN=2 1=f CUD þ N L 1=f JTAG (1) T prev ¼ DS CUD M L 1=f JTAG þ T CUD 1=f CUD : (2) The total debug time of the proposed method can be calculated in the same manner. Unlike previous studies [10], the communication time of the proposed method is DS prop M ð2l þ 1Þ because of the additional time required for storing the golden data in the trace buffer and for offloading the tag bit data where the size is M in the tag bit register. Therefore, T prop is calculated as T prop ¼ DS CUD M ð2l þ 1Þ1=f JTAG þ T CUD 1=f CUD : (3) Although the communication time per debug session is slightly increased as M ð2l þ 1Þ, the total communication time of the proposed method is reduced because the on-chip error detection method has fewer debug sessions ðds CUD Þ compared to [10]. Furthermore, the on-chip sampling time can also be reduced because T CUD is strongly dependent on the number of DS CUD.Therefore,thetotal debug time of the proposed method can be reduced significantly compared to that in [10]. To understand the experimental results between [10] and the proposed one clearly, the concept of the debug time reduction ratio ðt seq =T propðprevþ Þ in [10] is also used in this paper. Table 2 shows the debug time reduction ratio of [10] and the proposed method for the experiment in which N ¼ 2 M cycles, M ¼ 512 with different error rates and distribution. The error distribution is a Gaussian distribution with a mean point (m) of N/2 and it is shown in the first column. The error rates are computed as the

6 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 1, JANUARY TABLE 3 Hardware Area Overhead Comparison with Different Trace Buffer Depth Size Trace buffer depth size Hardware area (2 NAND equivalents) Proposed (M) [10] SE ¼ 1 SE¼ 2 SE¼ Fig. 6. Debug time reduction ratio estimation with different error rate. number of cycles with errors divided by N and they are shown in the second column. Increasing s results in a wider, more random error distribution, and an increasing error rate means that the number of error cycles is increased. As shown in Table 2, when s and error rate decrease, the debug time reduction ratio increases significantly. This is because these iterative debug methods detect the errors more quickly when the type of error is a burst error or when there are few errors. The proposed method has a higher debug time reduction ratio than [10] as SE increases. With the selective compaction technique, the error-suspect intervals can be compacted with higher compaction ratio and the number of total DS CUD is reduced more than [10]. The case in which SE ¼ 1 refers to the debug case that uses on-chip error detection without the selective compaction technique described in Section 3.1. In addition, the debug time reduction ratio of a higher SE increases as the error rate and error distribution goes down because the selective compaction technique is influenced by the error distribution when overwriting the golden data in the trace buffer, as described in Section 3.2. If the error data is sparsely distributed, the selective compaction better reduces the total debug time with overwriting of the golden data. Therefore, the proposed method using the selective compaction technique is more efficient than [10] when the debug case has a lower error rate. Fig. 6 shows the debug time reduction ratio estimation with different error rate for the experiment in which N ¼ 2M cycles, M ¼ 512 with uniform error rate in the ARM based design. As graph shows, the debug time reduction ratio increases as the error rate decreases because the selective compaction technique can reduce the error-suspect window more. As the error rate increases, the debug time reduction ratio of the proposed method and the efficiency of the selective compaction technique are reduced. However, the proposed method still improves the ratio more than [10] with on-chip method. Further more, because the error rate is typically low in the post silicon debug, as discussed in Section 3.2, the proposed method can be adapted to the practical debug case. Fig. 7 shows the debug time reduction ratio estimation with different M for the debug cases in which the error rate is 0.16 percent Fig. 7. Debug time reduction ratio estimation with different trace buffer depth. and the error distribution is uniform in the ARM based design. The debug time reduction ratio increases as M decreases. That is, the quality of the selective compaction is higher as M is low, which means that the proposed method can be more efficient when the amount of debug data is low with the limited capacity of the trace buffer. Since the size of the trace buffer is determined during the design process, smaller trace buffer can be used to satisfy the proper debug time overhead in the proposed method. Table 3 compares the hardware aspect of the debug modules of [10] and the method proposed in this study in terms of two input NAND (NAND2) gates with different trace buffer sizes. They are designed in RTL code and synthesized, and the results from this table refer only to the logic area and do not account for the trace buffer. In the case of the proposed method with SE ¼ 1, the comparator, the tag bit register, and the FSM for analyzing the debug data with the golden data in the trace buffer are included. On the other hand, the proposed method with SE ¼ 2 or 4 requires an additional MISR and a golden register to adapt the selective compaction technique. Because of these additional debug modules, including the MISR, comparator, and tag bit register, the area required by the proposed method is slightly larger than that in [10]. However, the trace buffer results in DfD hardware overhead in a large portion and the hardware area overhead of the debug module when using SE ¼ 4 and M ¼ 512 is still less than 5 percent of the size of an embedded trace buffer of 4 Kbytes implemented in the same technology [10]. That is, the debug module of the proposed method is still a negligible hardware overhead. If there is no limitation of the trace buffer size, the ratio between time and hardware overhead is ideally the same. However, the onchip method re-uses the empty area of the trace buffer in the limited size of trace buffer. As a result, the increment of the debug time reduction ratio is saturated as SE increases although the hardware overhead increases. In addition, the debug time reduction value is still meaningful although the increment of the debug time reduction ratio seems smaller as the SE increases because the total debug time can be reduced drastically as the number of the designs or the debug cases under the post-silicon debug increases. 5 CONCLUSION In this paper, an on-chip error detection method is proposed to reduce the total debug time for various debug cases. The proposed method performs the on-chip error detection process by re-using the empty space of the trace buffer. In addition, the selective compaction technique enables the on-chip detection method to reduce the number of debug sessions with the detection of the error interval information in real-time. As a result, the proposed method can significantly reduce the debug time with a negligible hardware overhead when compared to that in a previous study. ACKNOWLEDGMENTS This work was supported by a National Research Foundation of Korea (NRF) grant funded by the Korean government (MSIP) (No. 2015R1A2A1A ). Sungho Kang is the corresponding author.

7 44 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 1, JANUARY 2017 REFERENCES [1] D. Van Campenhout, H. Al-Assad, J. P. Hayes, T. Mudge, and R. B. Brown, High-level design verification of microprocessors via error modeling, ACM Trans. Des. Autom. Electron. Syst., vol. 3, no. 4, pp , [2] K. Randecka and Z. Zilic, Design verification by test vectors and arithmetic transform universal test set, IEEE Trans. Comput., vol. 53, no. 5, pp , May [3] W. K. Lam, Hardware Design Verification: Simulation and Formal Method-Based Approaches. Englewood Cliffs, NJ, USA: Prentice Hall, [4] X. Liu and Q. Xu, On signal tracing for debugging speedpath-related electrical errors in post-silicon validation, in Proc. IEEE Asian Test Symp., Dec. 2010, pp [5] SB. Park, T. Hong, and S. Mitra, Post-silicon bug localization in processors using instrcution footprint recording and analysis (IFRA), IEEE Trans. Comput.-Aided Des., vol. 28, no. 10, pp , Oct [6] B. Vermeulen and S. K Goel, Design for debug: Catching design errors in digital chips, IEEE Des. Test Comput., vol. 19, no. 3, pp , May [7] Y. C. Hsu, F. Tsa, W. Jong, and Y. T. Chang, Visibility enhancement for silicon debug, in Proc. ACM/IEEE Des. Autom. Conf., 2006, pp [8] M. Abramovici, P. Bradley, J. Dwarakanath, P. Levin, G. Memmi, and D. Miller, A reconfigurable design-for-debug infrastructure for SoCs, in Proc. ACM/IEEE Des. Autom. Conf., 2006, pp [9] S. Mitra, S. A. Seshia, and N. Nicolici, Post-silicon validation opportunities, challenges and recent advanced, in Proc. ACM/IEEE Des. Autom. Conf., 2010, pp [10] E. A. Daoud and N. Nicolici, On using lossy compression for repeatable experiments during silicon debug, IEEE Trans. Comput., vol. 60, no. 7, pp , Jul [11] H. F. Ko and N. Nicolici, Combining scan and trace buffers for enhancing real-time observability in post-silicon debugging, in Proc. 15th IEEE Eur. Test Symp., 2010, pp [12] J.-S. Yang and N. Touba, Improved trace buffer observation via selective data capture using 2-D compaction for post-silicon debug, IEEE Trans. VLSI Syst., vol. 21, no. 2, pp , Feb [13] S. Sarangi, B. Greskamp, and J. Torrellas, CADRE: Cycle-accurate deterministic replay for hardware debugging, in Proc. IEEE Int. Conf. Dependable Syst. Netw., Jun. 2006, pp [14] H. F. Ko, A. B Kinsman, and N. Nicolici, Design-for-debug architecture for distributed embedded logic analysis, IEEE Trans. VLSI Syst., vol. 19, no. 8, pp , Aug [15] E. A. Daoud and N. Nicolici, Real-time lossless compression for silicon debug, IEEE Trans. VLSI Syst., vol. 28, no. 9, pp , Sep [16] (2010, Dec.). [Online]. Available:

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost

Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost IEEE TRANSACTIONS ON COMPUTERS, VOL. 67, NO. 12, DECEMBER 2018 1835 Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost Inhyuk Choi, Hyunggoy Oh, Young-Woo Lee, and Sungho Kang, Senior

More information

RECENT developments in semiconductor technology

RECENT developments in semiconductor technology 1504 IEEE TRANSACTIONS ON COMPUTERS, VOL. 66, NO. 9, SEPTEMBER 2017 DRAM-Based Error Detection Method to Reduce the Post-Silicon Debug Time for Multiple Identical Cores Hyunggoy Oh, Inhyuk Choi, and Sungho

More information

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 7, JULY 2016 1219 Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores Taewoo

More information

On Multiplexed Signal Tracing for Post-Silicon Debug

On Multiplexed Signal Tracing for Post-Silicon Debug On Multiplexed Signal Tracing for Post-Silicon Debug iao Liu and Qiang u Department of Computer Science & Engineering The Chinese University of Hong Kong, Shatin, NT, Hong Kong Email: {xliu,qxu}@csecuhkeduhk

More information

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei

More information

Automated Data Analysis Solutions to Silicon Debug

Automated Data Analysis Solutions to Silicon Debug Automated Data Analysis Solutions to Silicon Debug Yu-Shen Yang Dept. of ECE University of Toronto Toronto, M5S 3G4 yangy@eecg.utronto.ca Nicola Nicolici Dept. of ECE McMaster University Hamilton, L8S

More information

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Jin-Hyun Kang Semiconductor Systems Department Sungkyunkwan University Suwon, Korea, 16419 kangjin13@skku.edu

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas at Austin {kjbala,touba}@ece.utexas.edu

More information

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression 1 R.Kanagavalli, 2 Dr.O.Saraniya 1 PG Scholar, 2 Assistant Professor Department of Electronics and Communication Engineering,

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation

Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation Hamid Shojaei, and Azadeh Davoodi University of Wisconsin 1415 Engineering Drive, Madison WI 53706 Email: {shojaei,

More information

120 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 2, FEBRUARY 2014

120 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 2, FEBRUARY 2014 120 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 2, FEBRUARY 2014 VL-ECC: Variable Data-Length Error Correction Code for Embedded Memory in DSP Applications Jangwon Park,

More information

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation http://dx.doi.org/10.5573/jsts.2012.12.4.418 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 Efficient Implementation of Single Error Correction and Double Error Detection

More information

An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer

An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer An Efficient Multi Mode and Multi Resolution Based AHB Bus Tracer Abstract: Waheeda Begum M.Tech, VLSI Design & Embedded System, Department of E&CE, Lingaraj Appa Engineering College, Bidar. On-Chip program

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

P2FS: supporting atomic writes for reliable file system design in PCM storage

P2FS: supporting atomic writes for reliable file system design in PCM storage LETTER IEICE Electronics Express, Vol.11, No.13, 1 6 P2FS: supporting atomic writes for reliable file system design in PCM storage Eunji Lee 1, Kern Koh 2, and Hyokyung Bahn 2a) 1 Department of Software,

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

A novel test access mechanism for parallel testing of multi-core system

A novel test access mechanism for parallel testing of multi-core system LETTER IEICE Electronics Express, Vol.11, No.6, 1 6 A novel test access mechanism for parallel testing of multi-core system Taewoo Han, Inhyuk Choi, and Sungho Kang a) Dept of Electrical and Electronic

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 1, JANUARY 2009 81 Bit-Level Extrinsic Information Exchange Method for Double-Binary Turbo Codes Ji-Hoon Kim, Student Member,

More information

Effective Implementation of LDPC for Memory Applications

Effective Implementation of LDPC for Memory Applications Effective Implementation of LDPC for Memory Applications Y.Sreeja PG Scholar, VLSI & ES, Dept of ECE, Vidya Bharathi Institute of Technology, Janagaon, Warangal, Telangana. Dharavath Jagan Associate Professor,

More information

ISSN Vol.05,Issue.09, September-2017, Pages:

ISSN Vol.05,Issue.09, September-2017, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.05,Issue.09, September-2017, Pages:1693-1697 AJJAM PUSHPA 1, C. H. RAMA MOHAN 2 1 PG Scholar, Dept of ECE(DECS), Shirdi Sai Institute of Science and Technology, Anantapuramu,

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

Design of an Efficient 128-Bit Carry Select Adder Using Bec and Variable csla Techniques

Design of an Efficient 128-Bit Carry Select Adder Using Bec and Variable csla Techniques Design of an Efficient 128-Bit Carry Select Adder Using Bec and Variable csla Techniques B.Bharathi 1, C.V.Subhaskar Reddy 2 1 DEPARTMENT OF ECE, S.R.E.C, NANDYAL 2 ASSOCIATE PROFESSOR, S.R.E.C, NANDYAL.

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

THE turbo code is one of the most attractive forward error

THE turbo code is one of the most attractive forward error IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 2, FEBRUARY 2016 211 Memory-Reduced Turbo Decoding Architecture Using NII Metric Compression Youngjoo Lee, Member, IEEE, Meng

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

ADVANCES in chip design and test technology have

ADVANCES in chip design and test technology have IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Majority-Based Test Access Mechanism for Parallel Testing of Multiple Identical Cores Taewoo Han, Inhyuk Choi, and Sungho Kang Abstract

More information

Low Power Bus Binding Based on Dynamic Bit Reordering

Low Power Bus Binding Based on Dynamic Bit Reordering Low Power Bus Binding Based on Dynamic Bit Reordering Jihyung Kim, Taejin Kim, Sungho Park, and Jun-Dong Cho Abstract In this paper, the problem of reducing switching activity in on-chip buses at the stage

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

Boost FPGA Prototype Productivity by 10x

Boost FPGA Prototype Productivity by 10x Boost FPGA Prototype Productivity by 10x Introduction Modern ASICs have become massively complex due in part to the growing adoption of system on chip (SoC) development methodologies. With this growing

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY Saroja pasumarti, Asst.professor, Department Of Electronics and Communication Engineering, Chaitanya Engineering

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

Fully Programmable Memory BIST for Commodity DRAMs

Fully Programmable Memory BIST for Commodity DRAMs Fully Programmable BIST for Commodity DRAMs Ilwoong Kim, Woosik Jeong, Dongho Kang, and Sungho Kang To accomplish a high-speed test on low-speed automatic test equipment (ATE), a new instruction-based

More information

Low-Power Data Address Bus Encoding Method

Low-Power Data Address Bus Encoding Method Low-Power Data Address Bus Encoding Method Tsung-Hsi Weng, Wei-Hao Chiao, Jean Jyh-Jiun Shann, Chung-Ping Chung, and Jimmy Lu Dept. of Computer Science and Information Engineering, National Chao Tung University,

More information

NEW ALGORITHMS AND ARCHITECTURES FOR POST-SILICON VALIDATION

NEW ALGORITHMS AND ARCHITECTURES FOR POST-SILICON VALIDATION NEW ALGORITHMS AND ARCHITECTURES FOR POST-SILICON VALIDATION NEW ALGORITHMS AND ARCHITECTURES FOR POST-SILICON VALIDATION BY HO FAI KO, B.Eng. & Mgt., M.A.Sc. APRIL 2009 a thesis Submitted to the School

More information

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding LETTER IEICE Electronics Express, Vol.14, No.21, 1 11 Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding Rongshan Wei a) and Xingang Zhang College of Physics

More information

Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design

Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design Area Versus Detection Latency Trade-Offs in Self-Checking Memory Design Omar Kebichi *, Yervant Zorian**, Michael Nicolaidis* * Reliable Integrated Systems Group, TIMA / INPG, 46 avenue Félix Viallet 38031

More information

Linköping University Post Print. Analysis of Twiddle Factor Memory Complexity of Radix-2^i Pipelined FFTs

Linköping University Post Print. Analysis of Twiddle Factor Memory Complexity of Radix-2^i Pipelined FFTs Linköping University Post Print Analysis of Twiddle Factor Complexity of Radix-2^i Pipelined FFTs Fahad Qureshi and Oscar Gustafsson N.B.: When citing this work, cite the original article. 200 IEEE. Personal

More information

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY K.Maheshwari M.Tech VLSI, Aurora scientific technological and research academy, Bandlaguda, Hyderabad. k.sandeep kumar Asst.prof,

More information

Design and Analysis of Inexact Floating-Point Adders

Design and Analysis of Inexact Floating-Point Adders 308 IEEE TRANSACTIONS ON COMPUTERS, VOL. 65, NO. 1, JANUARY 2016 Design and Analysis of Inexact Floating-Point Adders Weiqiang Liu, Senior Member, IEEE, Linbin Chen, Chenghua Wang, Maire O Neill, Senior

More information

LETTER Solid-State Disk with Double Data Rate DRAM Interface for High-Performance PCs

LETTER Solid-State Disk with Double Data Rate DRAM Interface for High-Performance PCs IEICE TRANS. INF. & SYST., VOL.E92 D, NO.4 APRIL 2009 727 LETTER Solid-State Disk with Double Data Rate DRAM Interface for High-Performance PCs Dong KIM, Kwanhu BANG, Seung-Hwan HA, Chanik PARK, Sung Woo

More information

298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016

298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 298 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 Enhancing Superset X-Canceling Method With Relaxed Constraints on Fault Observation Joon-Sung

More information

A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter

A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter A Ripple Carry Adder based Low Power Architecture of LMS Adaptive Filter A.S. Sneka Priyaa PG Scholar Government College of Technology Coimbatore ABSTRACT The Least Mean Square Adaptive Filter is frequently

More information

Automated Silicon Debug Data Analysis Techniques for a Hardware Data Acquisition Environment

Automated Silicon Debug Data Analysis Techniques for a Hardware Data Acquisition Environment Automated Silicon Debug Data Analysis Techniques for a Hardware Data Acquisition Environment Yu-Shen Yang 1, Brian Keng 1, Nicola Nicolici 2, Andreas Veneris 1,3,4, Sean Safarpour 5 1 Dept. of ECE, University

More information

RECENTLY, researches on gigabit wireless personal area

RECENTLY, researches on gigabit wireless personal area 146 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 An Indexed-Scaling Pipelined FFT Processor for OFDM-Based WPAN Applications Yuan Chen, Student Member, IEEE,

More information

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory

Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 PP 11-18 www.iosrjen.org Resource Efficient Multi Ported Sram Based Ternary Content Addressable Memory S.Parkavi (1) And S.Bharath

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

Design For High Performance Flexray Protocol For Fpga Based System

Design For High Performance Flexray Protocol For Fpga Based System IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) e-issn: 2319 4200, p-issn No. : 2319 4197 PP 83-88 www.iosrjournals.org Design For High Performance Flexray Protocol For Fpga Based System E. Singaravelan

More information

VLSI Design of Multichannel AMBA AHB

VLSI Design of Multichannel AMBA AHB RESEARCH ARTICLE OPEN ACCESS VLSI Design of Multichannel AMBA AHB Shraddha Divekar,Archana Tiwari M-Tech, Department Of Electronics, Assistant professor, Department Of Electronics RKNEC Nagpur,RKNEC Nagpur

More information

A New Scan Chain Fault Simulation for Scan Chain Diagnosis

A New Scan Chain Fault Simulation for Scan Chain Diagnosis JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO.4, DECEMBER, 2007 221 A New Scan Chain Fault Simulation for Scan Chain Diagnosis Sunghoon Chun, Taejin Kim, Eun Sei Park, and Sungho Kang Abstract

More information

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS E.S.D Gireesh Goud 1, Mrs.T.Swetha 2 PG Scholor, DIET, HYD 1, Assistant Professor, DIET, HYD 2 ABSTRACT These designs pose significant

More information

ISSN: [Shubhangi* et al., 6(8): August, 2017] Impact Factor: 4.116

ISSN: [Shubhangi* et al., 6(8): August, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DE-DUPLICABLE EFFECTIVE VALIDATION of CAPACITY for DYNAMIC USER ENVIRONMENT Dr. Shubhangi D C *1 & Pooja 2 *1 HOD, Department

More information

FPGA Debug Using Incremental Trace Buffer

FPGA Debug Using Incremental Trace Buffer FPGA Debug Using Incremental Trace Buffer 1 T.Divya, 2 P.Rajamurthy 1 M.E Applied Electronics, Final Year, 2 Assistant Professor Department of ECE Jaya Engineering College Tamilnadu, India 1 divya240392@gmail.com,

More information

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC International Journal of Engineering and Manufacturing Science. ISSN 2249-3115 Volume 8, Number 1 (2018) pp. 65-76 Research India Publications http://www.ripublication.com DESIGN AND IMPLEMENTATION ARCHITECTURE

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION

A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION Ming-Hwa Sheu, Sh-Chi Tsai and Ming-Der Shieh Dept. of Electronic Eng., National Yunlin Univ. of Science and Technology, Yunlin,

More information

Design and Verification of Area Efficient High-Speed Carry Select Adder

Design and Verification of Area Efficient High-Speed Carry Select Adder Design and Verification of Area Efficient High-Speed Carry Select Adder T. RatnaMala # 1, R. Vinay Kumar* 2, T. Chandra Kala #3 #1 PG Student, Kakinada Institute of Engineering and Technology,Korangi,

More information

Testability Design for Sleep Convention Logic

Testability Design for Sleep Convention Logic Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 561-566 Research India Publications http://www.ripublication.com Testability Design for Sleep Convention

More information

DUE TO innovations in the manufacturing technology of

DUE TO innovations in the manufacturing technology of 274 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 Tri-State Coding Using Reconfiguration of Twisted Ring Counter for Test Data Compression

More information

A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability

A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability IEEE TRANSACTIONS ON RELIABILITY 1 A Novel Massively Parallel Testing Method Using Multi-Root for High Reliability Haksong Kim, Yong Lee, and Sungho Kang, Member, IEEE Abstract Wafer testing (wafer sort)

More information

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Design of Low Power Digital CMOS Comparator

Design of Low Power Digital CMOS Comparator Design of Low Power Digital CMOS Comparator 1 A. Ramesh, 2 A.N.P.S Gupta, 3 D.Raghava Reddy 1 Student of LSI&ES, 2 Assistant Professor, 3 Associate Professor E.C.E Department, Narasaraopeta Institute of

More information

FPGA Based Low Area Motion Estimation with BISCD Architecture

FPGA Based Low Area Motion Estimation with BISCD Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3 Issue 10 October, 2014 Page No. 8610-8614 FPGA Based Low Area Motion Estimation with BISCD Architecture R.Pragathi,

More information

Automated Formal Verification of X Propagation with Respect to Testability Issues

Automated Formal Verification of X Propagation with Respect to Testability Issues Automated Formal Verification of X Propagation with Respect to Testability Issues Mehdi Dehbashi Daniel Tille Ulrike Pfannkuchen Stephan Eggersglüß Institute of Computer Science, University of Bremen,

More information

Atmel Exploits FPGA Flexibility in Application Development for Customizable Microcontroller-based Systems Peter Bishop, Atmel Corporation 22-Dec-2008

Atmel Exploits FPGA Flexibility in Application Development for Customizable Microcontroller-based Systems Peter Bishop, Atmel Corporation 22-Dec-2008 Atmel Exploits Flexibility in Application Development for Customizable Microcontrollerbased Peter Bishop, Atmel Corporation 22Dec2008 Introduction Designing an embedded microcontrollerbased system poses

More information

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Iswarya Gopal, Rajasekar.T, PG Scholar, Sri Shakthi Institute of Engineering and Technology, Coimbatore, Tamil Nadu, India Assistant

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

Fault Tolerant Parallel Filters Based on ECC Codes

Fault Tolerant Parallel Filters Based on ECC Codes Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 597-605 Research India Publications http://www.ripublication.com Fault Tolerant Parallel Filters Based on

More information

The Serial Commutator FFT

The Serial Commutator FFT The Serial Commutator FFT Mario Garrido Gálvez, Shen-Jui Huang, Sau-Gee Chen and Oscar Gustafsson Journal Article N.B.: When citing this work, cite the original article. 2016 IEEE. Personal use of this

More information

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp.

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp. Published in IET Computers & Digital Techniques Received on 15th May 2007 Revised on 17th December 2007 Selected Papers from NORCHIP 06 ISSN 1751-8601 Architecture for integrated test data compression

More information

ISSN: (Print) ISSN: (Online) Page 6

ISSN: (Print) ISSN: (Online) Page 6 Design and Verification of AXI OCP Bridge Supporting Out-of-Order Transactions for achieving Dead Lock Free Communication Author(s) Name: Shrikant, Roopa K. Bangalore, Karnataka Abstract Modern on-chip

More information

High-Performance VLSI Architecture of H.264/AVC CAVLD by Parallel Run_before Estimation Algorithm *

High-Performance VLSI Architecture of H.264/AVC CAVLD by Parallel Run_before Estimation Algorithm * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 595-605 (2013) High-Performance VLSI Architecture of H.264/AVC CAVLD by Parallel Run_before Estimation Algorithm * JONGWOO BAE 1 AND JINSOO CHO 2,+ 1

More information

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST SAKTHIVEL Assistant Professor, Department of ECE, Coimbatore Institute of Engineering and Technology Abstract- FPGA is

More information

Trace Signal Selection for Visibility Enhancement in Post-Silicon Validation

Trace Signal Selection for Visibility Enhancement in Post-Silicon Validation Trace Signal Selection for Visibility Enhancement in Post-Silicon Validation iao Liu and Qiang u CUhk REliable computing laboratory (CURE) Department of Computer Science & Engineering The Chinese University

More information

Minimizing Power Dissipation during Write Operation to Register Files

Minimizing Power Dissipation during Write Operation to Register Files Minimizing Power Dissipation during Operation to Register Files Abstract - This paper presents a power reduction mechanism for the write operation in register files (RegFiles), which adds a conditional

More information

Real-time processing for intelligent-surveillance applications

Real-time processing for intelligent-surveillance applications LETTER IEICE Electronics Express, Vol.14, No.8, 1 12 Real-time processing for intelligent-surveillance applications Sungju Lee, Heegon Kim, Jaewon Sa, Byungkwan Park, and Yongwha Chung a) Dept. of Computer

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

Storage Architecture and Software Support for SLC/MLC Combined Flash Memory

Storage Architecture and Software Support for SLC/MLC Combined Flash Memory Storage Architecture and Software Support for SLC/MLC Combined Flash Memory Soojun Im and Dongkun Shin Sungkyunkwan University Suwon, Korea {lang33, dongkun}@skku.edu ABSTRACT We propose a novel flash

More information

Linköping University Post Print. A Distributed Architecture to Check Global Properties for Post-Silicon Debug

Linköping University Post Print. A Distributed Architecture to Check Global Properties for Post-Silicon Debug Linköping University Post Print A Distributed Architecture to Check Global Properties for Post-Silicon Debug Erik Larsson, Bart Vermeulen and Kees Goossens N.B.: When citing this work, cite the original

More information

Design and Implementation of CVNS Based Low Power 64-Bit Adder

Design and Implementation of CVNS Based Low Power 64-Bit Adder Design and Implementation of CVNS Based Low Power 64-Bit Adder Ch.Vijay Kumar Department of ECE Embedded Systems & VLSI Design Vishakhapatnam, India Sri.Sagara Pandu Department of ECE Embedded Systems

More information

4. Hardware Platform: Real-Time Requirements

4. Hardware Platform: Real-Time Requirements 4. Hardware Platform: Real-Time Requirements Contents: 4.1 Evolution of Microprocessor Architecture 4.2 Performance-Increasing Concepts 4.3 Influences on System Architecture 4.4 A Real-Time Hardware Architecture

More information

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts 1 ADVANCED DIGITAL IC DESIGN (SESSION 6) Digital Verification Basic Concepts Need for Verification 2 Exponential increase in the complexity of ASIC implies need for sophisticated verification methods to

More information

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes

FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes FPGA Implementation of Double Error Correction Orthogonal Latin Squares Codes E. Jebamalar Leavline Assistant Professor, Department of ECE, Anna University, BIT Campus, Tiruchirappalli, India Email: jebilee@gmail.com

More information

A CORDIC Algorithm with Improved Rotation Strategy for Embedded Applications

A CORDIC Algorithm with Improved Rotation Strategy for Embedded Applications A CORDIC Algorithm with Improved Rotation Strategy for Embedded Applications Kui-Ting Chen Research Center of Information, Production and Systems, Waseda University, Fukuoka, Japan Email: nore@aoni.waseda.jp

More information