DAC 2018 FPGA design contest

Size: px
Start display at page:

Download "DAC 2018 FPGA design contest"

Transcription

1 DAC 2018 FPGA design contest Naveen Purushotham, Xilinx Jingtong Hu, University of Pittsburgh Bei Yu, Chinese University of Hong Kong Xinyi Zhang, University of Pittsburgh

2 Agenda Welcome DAC Contest Committee Contest Introduction Webinars Piazza PYNQ TM & Reference design discussion Things to know Design rules Reference design Questions & Answers Page 2

3 DAC Contest Committee Page 3

4 PYNQ Page 4

5 Python productivity for Zynq An open-source framework for combining SW and HW libraries on Zynq Use SW libraries of Python language Exploit programmable logic and microprocessors using HW libraries Out of Box Prebuilt SD card Python, Jupyter, Ubuntu & Bitstreams Python & Debian & Bitstream extensible PYNQ marries data science software and capabilities of zynq and programmable hardware PYNQ-Z1 First PYNQ supported board Page 5

6 Jupyter Notebooks: browser-based development with rich, multi-media support Designed for Interactive, exploratory computing Reproducible results Ideal for Teaching and learning Projects and research Provides Interactive design with Zynq application-oriented perspective githubcom/ipython/ipython/wiki/a-gallery-of-interesting-ipython-notebooks Where to find more notebooks Page 6

7 Overlays aka hardware libraries special bitstreams Step 1: Create an FPGA design for a class of related applications Step 2: Export the bitstream and a C API for programming the design Step 3: Wrap the C API to create a Python library Step 4: Import the bitstream and the library in your Python scripts and program Page 7

8 Neural Network Application example Runs in Browser HW libraries for PL Program Bitstream Page 8

9 Neural Network Application example Import python Runs in SW Browser libraries HW libraries for PL Program Bitstream Show results and analysis Page 9

10 Productivity level tools for Zynq Python scripts & programs Interactive console Python Libraries CPython Virtual Machine Ubuntu core Python-C bindings for IP drivers IP drivers in C Post-bitstream-programmable overlay Page 10

11 Example PYNQ Project FINN: Binary Neural Network Overlay on PYNQ SVHN, Road signs, CIFAR-10 Int Symposium on FPGAs, Feb 2017 Image pre-processing in Python Unprecedented image classification rates 1,000x speed-up over Raspberry Pi3 Binary Neural Network in FPGA & ARM CPU cat Page 11

12 A high productivity tool for experienced FPGA designers Pynq as a High Productivity Platform for FPGA Design & Exploration Fellow FPGA designers, try Pynq You ll like it Pynq makes exploring new FPGA ideas lightweight, fresh, fast, easy, fun again I hadn t expected that Pynq would also be a high productivity tool for experienced FPGA designers to more rapidly explore, evaluate, discover, and play Using Pynq to explore, develop, prototype saved me weeks of effort It was particularly good for interactively writing tests and exploring new hardware corner cases in Python with <1 second turnaround Jan Gray feedback on implementing 80 x 32-bit RISC cores on PYNQ-Z1 Page 12

13 PYNQ is completely open-source Where to find more information Page 13

14 PYNQ Team standing by Build something cool Contribute to Open Source Contribute Reproducible Results If not already a member, join the PYNQ support forum Page 14

15 DAC Contest reference design DDR PS side ZYNQ PL side Batch size = 500 open and resize images inference Timer should start before opening the images and end after PS side receives all detected coordinates Write to XML can be excluded from timer Page 15

16 Q & A Page 16

Inference

Inference Inference Architectures @Xilinx Graham Schelle, PhD Principal Engineer Xilinx Research Labs Xilinx Headlines!2 Twitch Chooses Xilinx to Enable its Broadcast-quality Livestream of esports Agenda Xilinx

More information

融入 Python 生态的 Zynq 软硬件设计框架

融入 Python 生态的 Zynq 软硬件设计框架 Python Productivity for Zynq 融入 Python 生态的 Zynq 软硬件设计框架 陆佳华 Xilinx 教育与创新生态高级经理 joshual@xilinx.com Python is increasingly the Language of Choice Top Programming Languages, IEEE Spectrum, July 18 July 17

More information

An 80-core GRVI Phalanx Overlay on PYNQ-Z1:

An 80-core GRVI Phalanx Overlay on PYNQ-Z1: An 80-core GRVI Phalanx Overlay on PYNQ-Z1: Pynq as a High Productivity Platform For FPGA Design and Exploration Jan Gray jan@fpga.org http://fpga.org/grvi-phalanx FCCM 2017 05/03/2017 Pynq Workshop My

More information

Scaling Convolutional Neural Networks on Reconfigurable Logic Michaela Blott, Principal Engineer, Xilinx Research

Scaling Convolutional Neural Networks on Reconfigurable Logic Michaela Blott, Principal Engineer, Xilinx Research Scaling Convolutional Neural Networks on Reconfigurable Logic Michaela Blott, Principal Engineer, Xilinx Research Nick Fraser (Xilinx & USydney) Yaman Umuroglu (Xilinx & NTNU) Giulio Gambardella (Xilinx)

More information

FINN: A Framework for Fast, Scalable Binarized Neural Network Inference

FINN: A Framework for Fast, Scalable Binarized Neural Network Inference FINN: A Framework for Fast, Scalable Binarized Neural Network Inference Yaman Umuroglu (XIR & NTNU), Nick Fraser (XIR & USydney), Giulio Gambardella (XIR), Michaela Blott (XIR), Philip Leong (USydney),

More information

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증 이웅재부장 Application Engineering Group 2014 The MathWorks, Inc. 1 Agenda Introduction ZYNQ Design Process Model-Based Design Workflow Prototyping and Verification Processor

More information

FINN: A Framework for Fast, Scalable Binarized Neural Network Inference

FINN: A Framework for Fast, Scalable Binarized Neural Network Inference FINN: A Framework for Fast, Scalable Binarized Neural Network Inference Yaman Umuroglu (NTNU & Xilinx Research Labs Ireland) in collaboration with N Fraser, G Gambardella, M Blott, P Leong, M Jahre and

More information

Introduction to Embedded System Design using Zynq

Introduction to Embedded System Design using Zynq Introduction to Embedded System Design using Zynq Zynq Vivado 2015.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator FPGA Kongress München 2017 Martin Heimlicher Enclustra GmbH Agenda 2 What is Visual System Integrator? Introduction Platform

More information

The Basler Embedded Ecosystem

The Basler Embedded Ecosystem The Basler Embedded Ecosystem The Basler Ecosystem Basler s Embedded Vision Portfolio Basler Services The Basler Partner Network Imaginghub Community Portal Basler s Embedded Vision Portfolio Camera Modules

More information

TP : System on Chip (SoC) 1

TP : System on Chip (SoC) 1 TP : System on Chip (SoC) 1 Goals : -Discover the VIVADO environment and SDK tool from Xilinx -Programming of the Software part of a SoC -Control of hardware peripheral using software running on the ARM

More information

Hardware and Software Co-Design for Motor Control Applications

Hardware and Software Co-Design for Motor Control Applications Hardware and Software Co-Design for Motor Control Applications Gaurav Dubey Durvesh Kulkarni 2015 The MathWorks, Inc. 1 Key trend: Increasing demands from motor drives Advanced algorithms require faster

More information

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top

More information

Dr. Yassine Hariri CMC Microsystems

Dr. Yassine Hariri CMC Microsystems Dr. Yassine Hariri Hariri@cmc.ca CMC Microsystems 03-26-2013 Agenda MCES Workshop Agenda and Topics Canada s National Design Network and CMC Microsystems Processor Eras: Background and History Single core

More information

Integrating FPGAs with Nengo. Xuan Choo, Ben Morcos Nengo Summer School 2018 (Jun 11, 2018)

Integrating FPGAs with Nengo. Xuan Choo, Ben Morcos Nengo Summer School 2018 (Jun 11, 2018) Integrating FPGAs with Nengo Xuan Choo, Ben Morcos Nengo Summer School 2018 (Jun 11, 2018) FPGA Basics What is an FPGA? FPGA Basics What is an FPGA? Field programmable gate array FPGA Basics What is an

More information

AXI Interface Based KC705. Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4)

AXI Interface Based KC705. Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4) AXI Interface Based KC705 j Embedded Kit MicroBlaze Processor Subsystem (ISE Design Suite 14.4) Software Tutorial Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided

More information

Metview s new Python interface first results and roadmap for further developments

Metview s new Python interface first results and roadmap for further developments Metview s new Python interface first results and roadmap for further developments EGOWS 2018, ECMWF Iain Russell Development Section, ECMWF Thanks to Sándor Kertész Fernando Ii Stephan Siemen ECMWF October

More information

Lab 4: Convolutional Neural Networks Due Friday, November 3, 2017, 11:59pm

Lab 4: Convolutional Neural Networks Due Friday, November 3, 2017, 11:59pm ECE5775 High-Level Digital Design Automation, Fall 2017 School of Electrical Computer Engineering, Cornell University Lab 4: Convolutional Neural Networks Due Friday, November 3, 2017, 11:59pm 1 Introduction

More information

Use Vivado to build an Embedded System

Use Vivado to build an Embedded System Introduction This lab guides you through the process of using Vivado to create a simple ARM Cortex-A9 based processor design targeting the ZedBoard development board. You will use Vivado to create the

More information

The Portal Aspect of the LSST Science Platform. Gregory Dubois-Felsmann Caltech/IPAC. LSST2017 August 16, 2017

The Portal Aspect of the LSST Science Platform. Gregory Dubois-Felsmann Caltech/IPAC. LSST2017 August 16, 2017 The Portal Aspect of the LSST Science Platform Gregory Dubois-Felsmann Caltech/IPAC LSST2017 August 16, 2017 1 Purpose of the LSST Science Platform (LSP) Enable access to the LSST data products Enable

More information

PYNQ Radio Final Report Team Members: Harveen Kaur, Rajat Gupta, Rohit Kulkarni, Vishwesh Rege

PYNQ Radio Final Report Team Members: Harveen Kaur, Rajat Gupta, Rohit Kulkarni, Vishwesh Rege 1 ABSTRACT: PYNQ Radio Final Report Team Members: Harveen Kaur, Rajat Gupta, Rohit Kulkarni, Vishwesh Rege General purpose processors (commonly called CPUs) are employed in a number of applications from

More information

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team 2015 The MathWorks, Inc. 1 Agenda Integrated Hardware / Software Top down Workflow for SoC

More information

ECE5775 High-Level Digital Design Automation, Fall 2018 School of Electrical Computer Engineering, Cornell University

ECE5775 High-Level Digital Design Automation, Fall 2018 School of Electrical Computer Engineering, Cornell University ECE5775 High-Level Digital Design Automation, Fall 2018 School of Electrical Computer Engineering, Cornell University Lab 4: Binarized Convolutional Neural Networks Due Wednesday, October 31, 2018, 11:59pm

More information

10/02/2015 Vivado Linux Basic System

10/02/2015 Vivado Linux Basic System Contents 1 History... 2 2 Introduction... 2 3 Open Vivado... 3 4 New Project... 4 5 Project Settings... 12 6 Create Processor System... 13 6.1 New Block Diagram... 13 6.2 Generate Output Products... 17

More information

Scalable and Modularized RTL Compilation of Convolutional Neural Networks onto FPGA

Scalable and Modularized RTL Compilation of Convolutional Neural Networks onto FPGA Scalable and Modularized RTL Compilation of Convolutional Neural Networks onto FPGA Yufei Ma, Naveen Suda, Yu Cao, Jae-sun Seo, Sarma Vrudhula School of Electrical, Computer and Energy Engineering School

More information

GRVI Phalanx Update: Plowing the Cloud with Thousands of RISC-V Chickens. Jan Gray

GRVI Phalanx Update: Plowing the Cloud with Thousands of RISC-V Chickens. Jan Gray If you were plowing a field, which would you rather use: two strong oxen or 1024 chickens? Seymour Cray GRVI Phalanx Update: Plowing the Cloud with Thousands of RISC-V Chickens Jan Gray jan@fpga.org http://fpga.org

More information

All Programmable SoC based on FPGA for IoT. Maria Liz Crespo ICTP MLAB

All Programmable SoC based on FPGA for IoT. Maria Liz Crespo ICTP MLAB All Programmable SoC based on FPGA for IoT Maria Liz Crespo ICTP MLAB mcrespo@ictp.it 1 ICTP MLAB 2 ICTP MLAB The MLAB was created in 1985 as a joint venture between ICTP and INFN with the aim of having

More information

Use Vivado to build an Embedded System

Use Vivado to build an Embedded System Introduction This lab guides you through the process of using Vivado to create a simple ARM Cortex-A9 based processor design targeting the ZedBoard or Zybo board. Where the instructions refer to both boards,

More information

Profiling the Performance of Binarized Neural Networks. Daniel Lerner, Jared Pierce, Blake Wetherton, Jialiang Zhang

Profiling the Performance of Binarized Neural Networks. Daniel Lerner, Jared Pierce, Blake Wetherton, Jialiang Zhang Profiling the Performance of Binarized Neural Networks Daniel Lerner, Jared Pierce, Blake Wetherton, Jialiang Zhang 1 Outline Project Significance Prior Work Research Objectives Hypotheses Testing Framework

More information

OpenCV on Zynq: Accelerating 4k60 Dense Optical Flow and Stereo Vision. Kamran Khan, Product Manager, Software Acceleration and Libraries July 2017

OpenCV on Zynq: Accelerating 4k60 Dense Optical Flow and Stereo Vision. Kamran Khan, Product Manager, Software Acceleration and Libraries July 2017 OpenCV on Zynq: Accelerating 4k60 Dense Optical Flow and Stereo Vision Kamran Khan, Product Manager, Software Acceleration and Libraries July 2017 Agenda Why Zynq SoCs for Traditional Computer Vision Automated

More information

PG Certificate. Embedded, Internet Of Things & Android

PG Certificate. Embedded, Internet Of Things & Android PG Certificate in Embedded, Internet Of Things & Android (Project Based) A Corporate Member of FITT-IIT Delhi An Initiative by Industry Experts from Cadence, Atrenta & Patni with qualification from IITs

More information

Parallella Linux - quickstart guide. Antmicro Ltd

Parallella Linux - quickstart guide. Antmicro Ltd Parallella Linux - quickstart guide Antmicro Ltd June 13, 2016 Contents 1 Introduction 1 1.1 Xilinx tools.......................................... 1 1.2 Version information.....................................

More information

Creating a base Zynq design with Vivado IPI

Creating a base Zynq design with Vivado IPI Creating a base Zynq design with Vivado IPI 2013.2 based on: http://www.zedboard.org/zh-hant/node/1454 http://xillybus.com/tutorials/vivado-hls-c-fpga-howto-1 Dr. Heinz Rongen Forschungszentrum Jülich

More information

Key components of a lang. Deconstructing OCaml. In OCaml. Units of computation. In Java/Python. In OCaml. Units of computation.

Key components of a lang. Deconstructing OCaml. In OCaml. Units of computation. In Java/Python. In OCaml. Units of computation. Key components of a lang Deconstructing OCaml What makes up a language Units of computation Types Memory model In OCaml Units of computation In OCaml In Java/Python Expressions that evaluate to values

More information

Deep Learning for Visual Computing Prof. Debdoot Sheet Department of Electrical Engineering Indian Institute of Technology, Kharagpur

Deep Learning for Visual Computing Prof. Debdoot Sheet Department of Electrical Engineering Indian Institute of Technology, Kharagpur Deep Learning for Visual Computing Prof. Debdoot Sheet Department of Electrical Engineering Indian Institute of Technology, Kharagpur Lecture - 05 Classification with Perceptron Model So, welcome to today

More information

Atlys (Xilinx Spartan-6 LX45)

Atlys (Xilinx Spartan-6 LX45) Boards & FPGA Systems and and Robotics how to use them 1 Atlys (Xilinx Spartan-6 LX45) Medium capacity Video in/out (both DVI) Audio AC97 codec 220 US$ (academic) Gbit Ethernet 128Mbyte DDR2 memory USB

More information

ECE 5775 (Fall 17) High-Level Digital Design Automation. Hardware-Software Co-Design

ECE 5775 (Fall 17) High-Level Digital Design Automation. Hardware-Software Co-Design ECE 5775 (Fall 17) High-Level Digital Design Automation Hardware-Software Co-Design Announcements Midterm graded You can view your exams during TA office hours (Fri/Wed 11am-noon, Rhodes 312) Second paper

More information

MicroZed: Hello World. Overview. Objectives. 23 August 2013 Version 2013_2.01

MicroZed: Hello World. Overview. Objectives. 23 August 2013 Version 2013_2.01 23 August 2013 Version 2013_2.01 Overview Once a Zynq Hardware Platform is created and exported from Vivado, the next step is to create an application targeted at the platform and see it operating in hardware.

More information

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator

SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator SoC Systeme ultra-schnell entwickeln mit Vivado und Visual System Integrator Embedded Computing Conference 2017 Matthias Frei zhaw InES Patrick Müller Enclustra GmbH 5 September 2017 Agenda Enclustra introduction

More information

Tutorial on Software-Hardware Codesign with CORDIC

Tutorial on Software-Hardware Codesign with CORDIC ECE5775 High-Level Digital Design Automation, Fall 2017 School of Electrical Computer Engineering, Cornell University Tutorial on Software-Hardware Codesign with CORDIC 1 Introduction So far in ECE5775

More information

Neural Network Exchange Format

Neural Network Exchange Format Copyright Khronos Group 2017 - Page 1 Neural Network Exchange Format Deploying Trained Networks to Inference Engines Viktor Gyenes, specification editor Copyright Khronos Group 2017 - Page 2 Outlook The

More information

Store Locator for Magento 2. User Guide

Store Locator for Magento 2. User Guide Store Locator for Magento 2 User Guide Table of Contents 1. Store Locator Configuration 1.1. Accessing the Extension Main Setting 1.2. General 1.3. Service API and Comments 1.4. Store Search 2. Store Locator

More information

Home automation with pymodbus

Home automation with pymodbus Home automation with pymodbus Raspberry pi as a home automation controller using pymodbus and node-red Yaacov Zamir PyCon Israel 2018 Links: https://github.com/yaacov/pyconil-2018-pymodbus https://github.com/riptideio/pymodbus

More information

Hardware and Software Co-Design for Motor Control Applications

Hardware and Software Co-Design for Motor Control Applications Hardware and Software Co-Design for Motor Control Applications GianCarlo Pacitti Senior Application Engineer, MathWorks 2015 The MathWorks, Inc. 1 Agenda Why use Hardware and Software for motor control?

More information

Reproducibility and Extensibility in Scientific Research. Jessica Forde

Reproducibility and Extensibility in Scientific Research. Jessica Forde Reproducibility and Extensibility in Scientific Research Jessica Forde Project Jupyter @projectjupyter @mybinderteam Project Jupyter IPython Jupyter Notebook Architecture of JupyterHub Overview The problem

More information

Resource Center Getting Started Guide

Resource Center Getting Started Guide Resource Center Getting Started Guide Welcome to the Resource Center. The Resource Center is your source for exclusive business best practices assets. The Resource Center is where you will create an account,

More information

DPM Demo Kit User s Manual Version: dpm_dk_um_1_0_1.doc

DPM Demo Kit User s Manual Version: dpm_dk_um_1_0_1.doc DPM Demo Kit User s Manual Version: 1.0.1 dpm_dk_um_1_0_1.doc 1 INTRODUCTION... 3 2 INSTALLATION... 5 2.1 HARDWARE DELIVERABLES... 5 2.2 SOFTWARE... 5 2.3 CONFIGURATION DATA... 5 2.4 DIRECTORY STRUCTURE...

More information

Pico Computing M501 PSP User Guide Linux Version 1.0.1

Pico Computing M501 PSP User Guide Linux Version 1.0.1 CoDeveloper Platform Support Package Pico Computing M501 PSP User Guide Linux Version 1.0.1 Impulse Accelerated Technologies, Inc. www.impulseaccelerated.com 1 1.0 Table of Contents 1.0 TABLE OF CONTENTS...

More information

Jupyter and Spark on Mesos: Best Practices. June 21 st, 2017

Jupyter and Spark on Mesos: Best Practices. June 21 st, 2017 Jupyter and Spark on Mesos: Best Practices June 2 st, 207 Agenda About me What is Spark & Jupyter Demo How Spark+Mesos+Jupyter work together Experience Q & A About me Graduated from EE @ Tsinghua Univ.

More information

FPGA Manager. State of the Union. Moritz Fischer, National Instruments

FPGA Manager. State of the Union. Moritz Fischer, National Instruments FPGA Manager State of the Union Moritz Fischer, National Instruments $whoami Embedded Software Engineer at National Instruments Other stuff I do: U-Boot, OE, Linux Kernel Co-Maintainer of FPGA Manager

More information

ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23.

ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23. In this demo, we will be using the Chipscope using three different flows to debug the programmable logic on Zynq. The Chipscope inserter will be set up to trigger on a bus transaction. This bus transaction

More information

Hardware and Software Co-Design for Motor Control Applications

Hardware and Software Co-Design for Motor Control Applications Hardware and Software Co-Design for Motor Control Applications Jonas Rutström Application Engineering 2015 The MathWorks, Inc. 1 Masterclass vs. Presentation? 2 What s a SoC? 3 What s a SoC? When we refer

More information

Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs.

Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs. LAB Assignment #1 for ECE 443 Assigned: Mon., Aug. 24, 2016 Due: Wed., Sept. 26, 2016 Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs. This assignment is intentionally

More information

Adaptive Development of Hash Functions in FPGA-Based Network Routers

Adaptive Development of Hash Functions in FPGA-Based Network Routers Adaptive Development of Hash Functions in FPGA-Based Network Routers RICHARD CHOMJAK Real authors in case of plagiarism :-) Dobai, R., Korenek, J., & Sekanina, L. (2016). Adaptive development of hash functions

More information

Applied Machine Learning

Applied Machine Learning Applied Machine Learning Lab 3 Working with Text Data Overview In this lab, you will use R or Python to work with text data. Specifically, you will use code to clean text, remove stop words, and apply

More information

Homework 01 : Deep learning Tutorial

Homework 01 : Deep learning Tutorial Homework 01 : Deep learning Tutorial Introduction to TensorFlow and MLP 1. Introduction You are going to install TensorFlow as a tutorial of deep learning implementation. This instruction will provide

More information

1-1 SDK with Zynq EPP

1-1 SDK with Zynq EPP -1 1SDK with Zynq EPP -2 Objectives Generating the processing subsystem with EDK SDK Project Management and Software Flow SDK with Zynq EPP - 1-2 Copyright 2012 Xilinx 2 Generating the processing subsystem

More information

Evaluation Guide for ASP.NET Web CMS and Experience Platforms

Evaluation Guide for ASP.NET Web CMS and Experience Platforms Evaluation Guide for ASP.NET Web CMS and Experience Platforms CONTENTS Introduction....................... 1 4 Key Differences...2 Architecture:...2 Development Model...3 Content:...4 Database:...4 Bonus:

More information

Movidius Neural Compute Stick

Movidius Neural Compute Stick Movidius Neural Compute Stick You may not use or facilitate the use of this document in connection with any infringement or other legal analysis concerning Intel products described herein. You agree to

More information

A So%ware Developer's Journey into a Deeply Heterogeneous World. Tomas Evensen, CTO Embedded So%ware, Xilinx

A So%ware Developer's Journey into a Deeply Heterogeneous World. Tomas Evensen, CTO Embedded So%ware, Xilinx A So%ware Developer's Journey into a Deeply Heterogeneous World Tomas Evensen, CTO Embedded So%ware, Xilinx Embedded Development: Then Simple single CPU Most code developed internally 10 s of thousands

More information

Linux PL330 Mainline Driver Usage John Linn 10/17/2014 Based on Linux kernel 3.14

Linux PL330 Mainline Driver Usage John Linn 10/17/2014 Based on Linux kernel 3.14 Linux PL330 Mainline Driver Usage John Linn 10/17/2014 Based on Linux kernel 314 About the PL330 Hardware The Zynq Technical Reference Manual (TRM) provides a good description of the device There are some

More information

Hands-On Workshop: 3D Automotive Graphics on Connected Radios Using Rayleigh and OpenGL ES 2.0

Hands-On Workshop: 3D Automotive Graphics on Connected Radios Using Rayleigh and OpenGL ES 2.0 Hands-On Workshop: 3D Automotive Graphics on Connected Radios Using Rayleigh and OpenGL ES 2.0 FTF-AUT-F0348 Hugo Osornio Luis Olea A P R. 2 0 1 4 TM External Use Agenda Back to the Basics! What is a GPU?

More information

Adaptable Computing The Future of FPGA Acceleration. Dan Gibbons, VP Software Development June 6, 2018

Adaptable Computing The Future of FPGA Acceleration. Dan Gibbons, VP Software Development June 6, 2018 Adaptable Computing The Future of FPGA Acceleration Dan Gibbons, VP Software Development June 6, 2018 Adaptable Accelerated Computing Page 2 Three Big Trends The Evolution of Computing Trend to Heterogeneous

More information

GRVI Phalanx Update: Plowing the Cloud with Thousands of RISC-V Chickens. Jan Gray

GRVI Phalanx Update: Plowing the Cloud with Thousands of RISC-V Chickens. Jan Gray If you were plowing a field, which would you rather use: two strong oxen or 1024 chickens? Seymour Cray GRVI Phalanx Update: Plowing the Cloud with Thousands of RISC-V Chickens Jan Gray jan@fpga.org http://fpga.org

More information

借助 SDSoC 快速開發複雜的嵌入式應用

借助 SDSoC 快速開發複雜的嵌入式應用 借助 SDSoC 快速開發複雜的嵌入式應用 May 2017 What Is C/C++ Development System-level Profiling SoC application-like programming Tools and IP for system-level profiling Specify C/C++ Functions for Acceleration Full System

More information

Typhoon HIL600. Discover the frontier of Hardware-in-the-Loop testing and quality assurance for power electronics.

Typhoon HIL600. Discover the frontier of Hardware-in-the-Loop testing and quality assurance for power electronics. Typhoon HIL600. SWISS QUALITY Discover the frontier of Hardware-in-the-Loop testing and quality assurance for power electronics www.typhoon-hil.com Testing made Simple. Typhoon HIL600. Discover the industrial

More information

Lab 0: Intro to running Jupyter Notebook on a Raspberry Pi

Lab 0: Intro to running Jupyter Notebook on a Raspberry Pi Lab 0: Intro to running Jupyter Notebook on a Raspberry Pi Nick Antipa, Li-Hao Yeh, based on labs by Jon Tamir and Frank Ong January 24, 2018 This lab will walk you through setting up your Raspberry Pi

More information

Testing from the Cloud: Is the sky falling?

Testing from the Cloud: Is the sky falling? AppSec USA 2011 The OWASP Foundation http://www.owasp.org Testing from the Cloud: Is the sky falling? Matt Tesauro OWASP Foundation Board Member, WTE Project Lead matt.tesauro@owasp.org In between Jobs

More information

Event: PASS SQL Saturday - DC 2018 Presenter: Jon Tupitza, CTO Architect

Event: PASS SQL Saturday - DC 2018 Presenter: Jon Tupitza, CTO Architect Event: PASS SQL Saturday - DC 2018 Presenter: Jon Tupitza, CTO Architect BEOP.CTO.TP4 Owner: OCTO Revision: 0001 Approved by: JAT Effective: 08/30/2018 Buchanan & Edwards Proprietary: Printed copies of

More information

Optimised OpenCL Workgroup Synthesis for Hybrid ARM-FPGA Devices

Optimised OpenCL Workgroup Synthesis for Hybrid ARM-FPGA Devices Optimised OpenCL Workgroup Synthesis for Hybrid ARM-FPGA Devices Mohammad Hosseinabady and Jose Luis Nunez-Yanez Department of Electrical and Electronic Engineering University of Bristol, UK. Email: {m.hosseinabady,

More information

Nbconvert Refactor Final 1.0

Nbconvert Refactor Final 1.0 Nbconvert Refactor Final 1.0 Jonathan Frederic June 20, 2013 Part I Introduction IPython is an interactive Python computing environment[1]. It provides an enhanced interactive Python shell. The IPython

More information

UCT Software-Defined Radio Research Group

UCT Software-Defined Radio Research Group UCT Software-Defined Radio Research Group UCT SDRRG Team UCT Faculty: Alan Langman Mike Inggs Simon Winberg PhD Students: Brandon Hamilton MSc Students: Bruce Raw Gordon Inggs Simon Scott Joseph Wamicha

More information

Lesson 7 Programming Embedded Galileo, Raspberry Pi, BeagleBone and mbed Platforms

Lesson 7 Programming Embedded Galileo, Raspberry Pi, BeagleBone and mbed Platforms Lesson 7 Programming Embedded Galileo, Raspberry Pi, BeagleBone and mbed Platforms 1 Development Of Programs For Prototype Development Platforms Done using an IDE The cycles of edit-test-debug used When

More information

UI and Python Interface

UI and Python Interface UI and Python Interface Koichi Murakami (KEK) Geant4 Collaboration Meeting 2017 27 September 2017 22ND GEANT4 COLLABORATION MEETING 1 Important fix in UI : BZ1989 (2006) Symptom : In UI terminal, PreInit>

More information

R. Assiro. WP1- Documentation Booting Petalinux from QSPI on UUB

R. Assiro. WP1- Documentation Booting Petalinux from QSPI on UUB WP1- Documentation Booting Petalinux from QSPI on UUB Create Boot image for Zynq 7020 on UUB architecture The Zynq boot process begins with running code inside the Boot ROM. The boot ROM manages the early

More information

Python Tutorial Ubuntu Pdf Beginners Filetype

Python Tutorial Ubuntu Pdf Beginners Filetype Python Tutorial Ubuntu Pdf Beginners Filetype Note that this is a Python 3 tutorial, which means that most of the examples will not so if you learn one, you should be able to read programs written for

More information

Requirement ZYNQ SOC Development Board: Z-Turn by MYiR ZYNQ-7020 (XC7Z020-1CLG400C) Vivado and Xilinx SDK TF Card Reader (Micro SD) Windows 7

Requirement ZYNQ SOC Development Board: Z-Turn by MYiR ZYNQ-7020 (XC7Z020-1CLG400C) Vivado and Xilinx SDK TF Card Reader (Micro SD) Windows 7 Project Description The ARM CPU is configured to perform read and write operations on the Block Memory. The Block Memory is created in the PL side of the ZYNQ device. The ARM CPU is configured as Master

More information

Xilinx ML Suite Overview

Xilinx ML Suite Overview Xilinx ML Suite Overview Yao Fu System Architect Data Center Acceleration Xilinx Accelerated Computing Workloads Machine Learning Inference Image classification and object detection Video Streaming Frame

More information

Hands-On Workshop: ARM mbed : From Rapid Prototyping to Production

Hands-On Workshop: ARM mbed : From Rapid Prototyping to Production Hands-On Workshop: ARM mbed : From Rapid Prototyping to Production FTF-SDS-F0107 Michael Norman, Martin Kojtal A P R. 2 0 1 4 TM External Use Agenda What is mbed? mbed Hardware mbed Software mbed Tools

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

Writing Basic Software Application

Writing Basic Software Application Lab Workbook Introduction This lab guides you through the process of writing a basic software application. The software you will develop will write to the LEDs on the Zynq board. An AXI BRAM controller

More information

1. BASICS OF PYTHON. JHU Physics & Astronomy Python Workshop Lecturer: Mubdi Rahman

1. BASICS OF PYTHON. JHU Physics & Astronomy Python Workshop Lecturer: Mubdi Rahman 1. BASICS OF PYTHON JHU Physics & Astronomy Python Workshop 2017 Lecturer: Mubdi Rahman HOW IS THIS WORKSHOP GOING TO WORK? We will be going over all the basics you need to get started and get productive

More information

The GISandbox: A Science Gateway For Geospatial Computing. Davide Del Vento, Eric Shook, Andrea Zonca

The GISandbox: A Science Gateway For Geospatial Computing. Davide Del Vento, Eric Shook, Andrea Zonca The GISandbox: A Science Gateway For Geospatial Computing Davide Del Vento, Eric Shook, Andrea Zonca 1 Paleoscape Model and Human Origins Simulate Climate and Vegetation during the Last Glacial Maximum

More information

Avnet Zynq Mini Module Plus Embedded Design

Avnet Zynq Mini Module Plus Embedded Design Avnet Zynq Mini Module Plus Embedded Design Version 1.0 May 2014 1 Introduction This document describes a Zynq standalone OS embedded design implemented and tested on the Avnet Zynq Mini Module Plus. 2

More information

To hear the audio, please be sure to dial in: ID#

To hear the audio, please be sure to dial in: ID# Introduction to the HPP-Heterogeneous Processing Platform A combination of Multi-core, GPUs, FPGAs and Many-core accelerators To hear the audio, please be sure to dial in: 1-866-440-4486 ID# 4503739 Yassine

More information

Design AXI Master IP using Vivado HLS tool

Design AXI Master IP using Vivado HLS tool W H I T E P A P E R Venkatesh W VLSI Design Engineer and Srikanth Reddy Sr.VLSI Design Engineer Design AXI Master IP using Vivado HLS tool Abstract Vivado HLS (High-Level Synthesis) tool converts C, C++

More information

GigaX API for Zynq SoC

GigaX API for Zynq SoC BUM002 v1.0 USER MANUAL A software API for Zynq PS that Enables High-speed GigaE-PL Data Transfer & Frames Management BERTEN DSP S.L. www.bertendsp.com gigax@bertendsp.com +34 942 18 10 11 Table of Contents

More information

Mothra: A Large-Scale Data Processing Platform for Network Security Analysis

Mothra: A Large-Scale Data Processing Platform for Network Security Analysis Mothra: A Large-Scale Data Processing Platform for Network Security Analysis Tony Cebzanov Software Engineering Institute Carnegie Mellon University Pittsburgh, PA 15213 REV-03.18.2016.0 1 Agenda Introduction

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Alexander Nelson August 22, 2018 University of Arkansas - Department of Computer Science and Computer Engineering The most profound technologies are those that disappear.

More information

HTML CSS JAVASCRIPT WEB PUBLISHING IN ONE HOUR A DAY SAMS TEACH YOURSELF COVERING HTML5 CSS3 AND JQUERY 7TH EDITION

HTML CSS JAVASCRIPT WEB PUBLISHING IN ONE HOUR A DAY SAMS TEACH YOURSELF COVERING HTML5 CSS3 AND JQUERY 7TH EDITION HTML CSS JAVASCRIPT WEB PUBLISHING IN ONE HOUR A DAY SAMS TEACH YOURSELF COVERING HTML5 CSS3 AND JQUERY 7TH EDITION page 1 / 5 page 2 / 5 html css javascript web pdf We have curated a list of free development

More information

Proba-V and S3-SYN SNAP Toolbox: status and updates

Proba-V and S3-SYN SNAP Toolbox: status and updates Proba-V QWG-07 Proba-V and S3-SYN SNAP Toolbox: status and updates Carsten Brockmann 04.05.2018 SNAP 6 Release January 2018 SNAP http://step.esa.int Version 6 Direct data access (SciHub) integration Plotting

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design October 6 t h 2017. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

CS145: Intro to Databases. Lecture 1: Course Overview

CS145: Intro to Databases. Lecture 1: Course Overview CS145: Intro to Databases Lecture 1: Course Overview 1 The world is increasingly driven by data This class teaches the basics of how to use & manage data. 2 Key Questions We Will Answer How can we collect

More information

Using Visual Studio and VS Code for Embedded C/C++ Development. Marc Goodner, Principal Program Manager, Microsoft

Using Visual Studio and VS Code for Embedded C/C++ Development. Marc Goodner, Principal Program Manager, Microsoft Using Visual Studio and VS Code for Embedded C/C++ Development Marc Goodner, Principal Program Manager, Microsoft Mission of C++ Product Team at Microsoft (DevDiv) Make the lives of all C++ developers

More information

SATA Host-IP Demo Instruction Rev Jan-18

SATA Host-IP Demo Instruction Rev Jan-18 SATA Host-IP Demo Instruction Rev1.6 15-Jan-18 This document describes the instruction to run SATA Host-IP demo on FPGA development board and AB09-FMCRAID board. The demo is designed to write/verify data

More information

SOFA 2 RUNTIME SUPPORT FOR DYNAMIC LANGUAGES Jaroslav Keznikl

SOFA 2 RUNTIME SUPPORT FOR DYNAMIC LANGUAGES Jaroslav Keznikl SOFA 2 RUNTIME SUPPORT FOR DYNAMIC LANGUAGES Jaroslav Keznikl 1. Why should you be interested? Overview of the current SOFA 2 controllers Cool features for easy component development 2. What I m expecting

More information

Test Instrument Automation / Control My Tricks and Recommendations You know my passion for test instruments...

Test Instrument Automation / Control My Tricks and Recommendations You know my passion for test instruments... Test Instrument Automation / Control My Tricks and Recommendations You know my passion for test instruments... Bertrand Zauhar, ve2zaz@rac.ca October 2012 1 Today's Program on Instrument Control The need

More information

A success story of leveraging SDSoC TM to accelerate customer software algorithm

A success story of leveraging SDSoC TM to accelerate customer software algorithm A success story of leveraging SDSoC TM to accelerate customer software algorithm Agenda: Corporate Profile Story Summary Story Detail MPSoC DEMO Wataru Takahashi Manager 1 Corporate name OKI IDS Co., Ltd.

More information