SoC Overview. Multicore Applications Team

Size: px
Start display at page:

Download "SoC Overview. Multicore Applications Team"

Transcription

1 KeyStone C66x ulticore SoC Overview ulticore Applications Team

2 KeyStone Overview KeyStone Architecture & Internal Communications and Transport External Interfaces and s Debug iscellaneous Application and Device specific

3 Enhanced DSP Core C66x ISA Perform mance im mprovem ment C67x Native instructions for IEEE 754, SP&DP Advanced VLIW architecture C67x+ 2x registers Enhanced floatingpoint add capabilities 100% upward object code compatible 4x performance improvement for multiply operation bit ACs Improved support for complex arithmetic and matrix computation C674x 100% upward object code compatible with C64x, C64x+, C67xand c67x+ Best of fixed-point and floating-point architecture for better system performance and faster time-to-market. C64x+ SPLOOP and 16-bit instructions for smaller code size Flexible level one memory architecture ida for rapid data transfers between local memories C64x Advanced fixed- point instructions Four 16-bit or eight 8-bit ACs Two-level cache FLOATING-POINT VALUE FIXED-POINT VALUE Preliminary Information under NDA - subject to change

4 KeyStone Device Architecture Application-Specific iscellaneous External Interfaces

5 iscellaneous L1P L1D L2 emory Cache/RA 1 to 8 up to 1.25 GHz External Interfaces Application-Specific 1 to 8 C66x DSP Cores operating at up to 1.25 GHz Fixed and floating point operations Code compatible with other C64x+ and C67x+ devices L1 emory Can be partitioned as cache and/or RA 32KB L1P per core 32KB L1D per core Error dt detection ti for L1P emory protection Dedicated L2 emory Can be partitioned as cache and/or RA 512 KB to 1 B Local L2 per core Error detection and correction for all L2 memory Direct connection to memory subsystem

6 iscellaneous S SRA L1P L1D L2 emory Cache/RA 1 to 8 up to 1.25 GHz External Interfaces Application-Specific ulticore Shared emory (S SRA) 1 to 4 B Available to all cores Can contain program and data All devices except C6654 ulticore Shared emory Controller () Arbitrates access of and SoC masters to shared memory Provides a connection to the Provides access to coprocessors and IO peripherals Provides error detection and correction for all shared memory emory protection and address extension to 64 GB (36 bits) Provides multi stream pre fetching capability DDR3 External emory Interface (EIF) Support for 16 bit, 32 bit, and (for C667x devices) 64 bit modes Specified at up to 1600 T/s Supports power down of unused pins when using 16 bit or32 bit width Support for 8 GB memory address Error detection and correction

7 iscellaneous S SRA L1P L1D L2 emory Cache/RA 1 to 8 up to 1.25 GHz External Interfaces Application-Specific Queue anager DA Provides seamless inter core communications (messages and data exchanges) between cores, IP, and peripherals. Fire and forget Low overhead processing and routing of packet traffic to and from peripherals and cores Supports dynamic load optimization Data transfer architecture designed to minimize host interaction while maximizing memory and bus efficiency Consists of a Queue anager Subsystem (QSS) and multiple, dedicated DA engines

8 Architecture

9 (C667x) iscellaneous S SRA L1P L1D L2 emory Cache/RA 1 to 8 up to 1.25 GHz External Interfaces Application-Specific Provides hardware accelerators to perform L2, L3, and L4 processing and encryption that was previously done in software (PA) 8K multiple in, multiple out HW queues Single IP address option UDP (and TCP) checksum and selected CRCs L2/L3/L4 support Quality of Service (QoS) Queue anager DA ulticast to multiple queues Timestamps Security Security (SA) Hardware encryption, decryption, and Sauthentication Supports IPsec ESP, IPsec AH, SRTP, and 3GPP protocols Ethernet t SGII

10 External Interfaces iscellaneous Application Specific I/O GPIO I C 2 S SRA L1P L1D L2 emory Cache/RA 1 to 8 up to 1.25 GHz PCIe UART SPI Application Specific I/O SRIO x4 Ethernet t Application-Specific Queue anager DA Security 2x SGII ports support 10/100/1000 Ethernet 4x high bandwidth Serial RapidIO (SRIO) lanes for inter DSP applications SPI for boot operations UART for development/testing 2x PCIe at 5 Gbps I2C for EPRO at 400 Kbps GPIO Application specific Interfaces SGII

11 Fabric iscellaneous Application Specific I/O GPIO I C 2 S SRA L1P L1D L2 emory Cache/RA 1 to 8 up to 1.25 GHz PCIe UART SPI Application Specific I/O SRIO x4 Ethernet t SGII Application-Specific Queue anager DA Security A non blocking switch fabric that enables fast and contention free internal data movement Provides a configured way within hardware to manage traffic queues and ensure priority jobs are getting accomplished while minimizing the involvement of the cores Facilitates high bandwidth communications between cores, subsystems, peripherals, and memory

12 Data Connections S TPCC 16ch QDA EDA_0 SRIO TC0 TC1 Network Coprocessor TPCC TC2 64ch TPCC TC3 TC6 TC4 TC7 QDA 64ch TC5 TC8 QDA TC9 EDA_1,2 TAC_FE RAC_BE0,1 RAC_BE0,1 FFTC / PktDA FFTC / PktDA AIF / PktDA CPUCLK K/2 256bit Ter ranet CPUCLK/ / bit Tera anet S DDR3 S Shared L2 S S S S XC S L2 Core Core Core 0 3 S SRIO S TCP3e 3e_ W/R S S TCP3d TCP3d S TAC_BE S RAC_FE S RAC_FE S S VCP2 VCP2 (x4) S VCP2 (x4) S VCP2 (x4) (x4) DDR3 Facilitates high bandwidth communication links between DSP cores, subsystems, peripherals, and memories. Supports parallel orthogonal communication links QSS PCIe DebugSS S S QSS PCIe

13 Diagnostic Enhancements Debug/Trace iscellaneous Application Specific I/O GPIO I C 2 S SRA L1P L1D L2 emory Cache/RA 1 to 8 up to 1.25 GHz PCIe UART SPI Application Specific I/O SRIO x4 Ethernet t Application-Specific Queue anager DA Security Embedded Trace Buffers (ETB) enhance the diagnostic capabilities of the. CP onitor enables diagnostic capabilities on data traffic through the switch fabric. Automatic statistics collection and exporting (non intrusive) onitor individual events for better debugging onitor transactions to both memory end point and emory apped Registers (R) Configurable monitor filtering capability based on address and transaction type SGII

14 Bus Debug/Trace S SRA Application-Specific Provides the capability to expand the device to include hardware acceleration or other auxiliary processors Supports four lanes with up to 12.5 Gbaud per lane L1P L1D L2 emory Cache/RA iscellaneous 1 to 8 up to 1.25 GHz Queue anager DA Application Specific I/O GPIO I C 2 PCIe UART SPI Application Specific I/O SRIO x4 Ethernet t Security SGII

15 iscellaneous Elements Debug/Trace Boot RO Semaphore Power anagement PLL EDA x3 x3 S SRA L1P L1D L2 emory Cache/RA 1 to 8 up to 1.25 GHz Application-Specific Queue anager DA Boot RO Semaphore module provides atomic access to shared chiplevel resources. Power anagement Three on chip PLLs: PLL1 for s, except PLL2 for DDR3 PLL3 for Acceleration Three EDA controllers Eight 64 bit timers Inter Processor Communication (IPC) Registers Application Specific I/O GPIO I C 2 PCIe UART SPI Application Specific I/O SRIO x4 Ethernet t Security SGII

16 App Specific: Wireless Applications 64-Bit Debug/Trace Boot RO Semaphore Power anagement PLL EDA x3 x3 GPIO IC 2 2B S SRA RSA 32KB L1P 32KB L1D 1024KB L2 Cache/RA RSA GHz / 1.2 GHz PCIe UART SPI AIF2 x6 SRIO x4 t C6670 Ethernet VCP2 TCP3d TCP3e FFTC BCP x4 Queue anager DA Security Wireless specific coprocessors: 2x FFT Coprocessor (FFTC) Turbo Decoder/Encoder Coprocessor (TCP3D/3E) 4x Viterbi Coprocessor (VCP2) Bit rate Coprocessor (BCP) 2x Rake Search (RSA) Wireless specific Interfaces: 6x Antenna Interface 2 (AIF2) SGII

17 App Specific: General Purpose 64-Bit Debug/Trace Boot RO Semaphore Power anagement PLL EDA x3 x3 4B S SRA 32KB L1P 32KB L1D 512KB L2 Cache/RA 1 to 8 up to 1.25 GHz C6671/C6672 C6674/C6678 Queue anager DA General Purpose Application Interfaces: 2x Telecommunications Serial Port (TSIP) EIF 16 (EIF A) : Connects memory up to 256 B Three modes: Synchronized SRA NAND flash NOR flash EIF 16 GPIO IC 2 PCIe UART SPI TSIP SRIO x4 t Ethernet Security SGII

18 Low Power Low Cost KeyStone C665x Sub family

19 KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations Backward-compatible with C64x+ and C67x+ cores 1 B Local L2 memory per core ulticore Shared emory Controller () 32-bit DDR3 Interface Hardware Turbo Coprocessor Decoder (TCP3d) 2x Viterbi (VCP2) Interfaces High-speed Hyperlink bus One 10/100/1000 Ethernet SGII port 4x Serial RapidIO (SRIO) Rev 2.1 2x PCIe Gen2 2x ultichannel Buffered Serial Ports (cbsp) One Asynchronous emory Interface (EIF16) Additional Serials: SPI, I 2 C, UPP, GPIO, UART 32-Bit Debug/Trace Boot RO Semaphore Timers Security / Key anager Power anagement PLL EDA EIF16 GPIO UPP 1B S SRA 2nd core, C6657 only 32KB L1P 32KB L1D 1024KB L2 Cache 1 or 2 up to 1.25 GHz I 2 C UART SPI cbsp PCIe SRIO x4 Ethernet AC SGII C6655/57 TCP3d VCP2 Queue anager DA

20 KeyStone C6654: Power Optimized C66x C6654: One DSP Core at 850 Hz Fixed and Floating Point Operations Backward compatible with C64x+ and C67x+ cores 1 B Local L2 memory ulticore Shared emory Controller () 32-bit DDR3 Interface Interfaces One 10/100/1000 Ethernet SGII port 2x PCIe Gen2 2x ultichannel Buffered Serial Ports (cbsp) One Asynchronous emory Interface (EIF16) Additional Serials: SPI, I 2 C, UPP, GPIO, UART 32-Bit Debug/Trace Boot RO Semaphore Timers Security / Key anager Power anagement PLL EDA EIF16 GPIO 32KB L1P 32KB L1D 1024KB L2 Cache UPP Hz I 2 C UART SPI cbsp PCIe Ethernet AC C6654 Queue anager DA SGII

21 KeyStone C665x: Key HW Variations HW Feature C6654 C6655 C6657 Frequency (GHz) , , 1.0, 1.25 ulticore Shared emory (S) No 1024KB SRA DDR3 aximum Data Rate Serial Rapid I/O Lanes No 4x No Yes Viterbi Coprocessor (VCP) No 2x Turbo Coprocessor Decoder (TCP3d) No Yes Network CoProcessor (NETCP) No No

22 For ore Information For more information, refer to the C66x Getting Started page pg to locate the data manual for your KeyStone device. View the complete C66x ulticore SOC Online Training for KeyStone Devices, including details on theindividual modules. For questions regarding topics covered in this training, visit the support forums at the TI E2E Community website.

KeyStone C66x Multicore SoC Overview. Dec, 2011

KeyStone C66x Multicore SoC Overview. Dec, 2011 KeyStone C66x Multicore SoC Overview Dec, 011 Outline Multicore Challenge KeyStone Architecture Reminder About KeyStone Solution Challenge Before KeyStone Multicore performance degradation Lack of efficient

More information

KeyStone C665x Multicore SoC

KeyStone C665x Multicore SoC KeyStone Multicore SoC Architecture KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations

More information

KeyStone Training. Turbo Encoder Coprocessor (TCP3E)

KeyStone Training. Turbo Encoder Coprocessor (TCP3E) KeyStone Training Turbo Encoder Coprocessor (TCP3E) Agenda Overview TCP3E Overview TCP3E = Turbo CoProcessor 3 Encoder No previous versions, but came out at same time as third version of decoder co processor

More information

KeyStone Training. Multicore Navigator Overview

KeyStone Training. Multicore Navigator Overview KeyStone Training Multicore Navigator Overview What is Navigator? Overview Agenda Definition Architecture Queue Manager Sub-System (QMSS) Packet DMA () Descriptors and Queuing What can Navigator do? Data

More information

Introduction to AM5K2Ex/66AK2Ex Processors

Introduction to AM5K2Ex/66AK2Ex Processors Introduction to AM5K2Ex/66AK2Ex Processors 1 Recommended Pre-Requisite Training Prior to this training, we recommend you review the KeyStone II DSP+ARM SoC Architecture Overview, which provides more details

More information

KeyStone Training. Power Management

KeyStone Training. Power Management KeyStone Training Management Overview Domains Clock Domains States SmartReflex Agenda Overview Domains Clock Domains States SmartReflex C66x Overview New Management Features New features: Switchable Logic

More information

C66x KeyStone Training HyperLink

C66x KeyStone Training HyperLink C66x KeyStone Training HyperLink 1. HyperLink Overview 2. Address Translation 3. Configuration 4. Example and Demo Agenda 1. HyperLink Overview 2. Address Translation 3. Configuration 4. Example and Demo

More information

C66x KeyStone Training HyperLink

C66x KeyStone Training HyperLink C66x KeyStone Training HyperLink 1. HyperLink Overview 2. Address Translation 3. Configuration 4. Example and Demo Agenda 1. HyperLink Overview 2. Address Translation 3. Configuration 4. Example and Demo

More information

Keystone Architecture Inter-core Data Exchange

Keystone Architecture Inter-core Data Exchange Application Report Lit. Number November 2011 Keystone Architecture Inter-core Data Exchange Brighton Feng Vincent Han Communication Infrastructure ABSTRACT This application note introduces various methods

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

KeyStone Training. Bootloader

KeyStone Training. Bootloader KeyStone Training Bootloader Overview Configuration Device Startup Summary Agenda Overview Configuration Device Startup Summary Boot Overview Boot Mode Details Boot is driven on a device reset. Initial

More information

Embedded Processing Portfolio for Ultrasound

Embedded Processing Portfolio for Ultrasound Embedded Processing Portfolio for Ultrasound High performance, programmable platform Processor performance speeds image analysis faster, clearer results Power/size efficient processors enable portability

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

FPGA Adaptive Software Debug and Performance Analysis

FPGA Adaptive Software Debug and Performance Analysis white paper Intel Adaptive Software Debug and Performance Analysis Authors Javier Orensanz Director of Product Management, System Design Division ARM Stefano Zammattio Product Manager Intel Corporation

More information

Keystone ROM Boot Loader (RBL)

Keystone ROM Boot Loader (RBL) Keystone Bootloader Keystone ROM Boot Loader (RBL) RBL is a code used for the device startup. RBL also transfers application code from memory or host to high speed internal memory or DDR3 RBL code is burned

More information

High Performance Compute Platform Based on multi-core DSP for Seismic Modeling and Imaging

High Performance Compute Platform Based on multi-core DSP for Seismic Modeling and Imaging High Performance Compute Platform Based on multi-core DSP for Seismic Modeling and Imaging Presenter: Murtaza Ali, Texas Instruments Contributors: Murtaza Ali, Eric Stotzer, Xiaohui Li, Texas Instruments

More information

High-Performance, Highly Secure Networking for Industrial and IoT Applications

High-Performance, Highly Secure Networking for Industrial and IoT Applications High-Performance, Highly Secure Networking for Industrial and IoT Applications Table of Contents 2 Introduction 2 Communication Accelerators 3 Enterprise Network Lineage Features 5 Example applications

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Multicore DSP+ARM KeyStone II System-on-Chip (SoC)

Multicore DSP+ARM KeyStone II System-on-Chip (SoC) 66AK2E05, 66AK2E02 SPRS865C November 2012 Revised August 2014 1 66AK2E05/02 Features and Description 1.1 Features ARM Cortex -A15 MPCore CorePac Up to Four ARM Cortex-A15 Processor Cores at up to 1.4-GHz

More information

A Next Generation Home Access Point and Router

A Next Generation Home Access Point and Router A Next Generation Home Access Point and Router Product Marketing Manager Network Communication Technology and Application of the New Generation Points of Discussion Why Do We Need a Next Gen Home Router?

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs. November 2012

Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs. November 2012 Doing more with multicore! Utilizing the power-efficient, high-performance KeyStone multicore DSPs November 2012 How the world is doing more with TI s multicore Using TI multicore for wide variety of applications

More information

KeyStone Training. Network Coprocessor (NETCP) Packet Accelerator (PA)

KeyStone Training. Network Coprocessor (NETCP) Packet Accelerator (PA) KeyStone Training Network Coprocessor (NETCP) Packet Accelerator (PA) Agenda Applications Hardware Modules Firmware PA Low Level Driver (LLD) Programming Example Packet Accelerator: Applications Applications

More information

PRU Hardware Overview. Building Blocks for PRU Development: Module 1

PRU Hardware Overview. Building Blocks for PRU Development: Module 1 PRU Hardware Overview Building Blocks for PRU Development: Module 1 Agenda SoC Architecture PRU Submodules Example Applications 2 SoC Architecture Building Blocks for PRU Development: PRU Hardware Overview

More information

KeyStone Training. Network Coprocessor (NETCP) Packet Accelerator (PA)

KeyStone Training. Network Coprocessor (NETCP) Packet Accelerator (PA) KeyStone Training Network Coprocessor (NETCP) Packet Accelerator (PA) Agenda Applications Hardware Modules Firmware PA Low Level Driver (LLD) Programming Example Packet Accelerator: Applications Applications

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Chapter 7. Hardware Implementation Tools

Chapter 7. Hardware Implementation Tools Hardware Implementation Tools 137 The testing and embedding speech processing algorithm on general purpose PC and dedicated DSP platform require specific hardware implementation tools. Real time digital

More information

1 TMS320C6678 Features and Description

1 TMS320C6678 Features and Description Check for Evaluation Modules (EVM): TMS320C6678 TMS320C6678 SPRS691E November 2010 Revised March 2014 1 TMS320C6678 Features and Description 1.1 Features Eight TMS320C66x DSP Core Subsystems (C66x CorePacs),

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

DSP Solutions For High Quality Video Systems. Todd Hiers Texas Instruments

DSP Solutions For High Quality Video Systems. Todd Hiers Texas Instruments DSP Solutions For High Quality Video Systems Todd Hiers Texas Instruments TI Video Expertise Enables Faster And Easier Product Innovation TI has a long history covering the video market from end to end

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

Introduction to Sitara AM437x Processors

Introduction to Sitara AM437x Processors Introduction to Sitara AM437x Processors AM437x: Highly integrated, scalable platform with enhanced industrial communications and security AM4376 AM4378 Software Key Features AM4372 AM4377 High-performance

More information

An Introduction to the QorIQ Data Path Acceleration Architecture (DPAA) AN129

An Introduction to the QorIQ Data Path Acceleration Architecture (DPAA) AN129 July 14, 2009 An Introduction to the QorIQ Data Path Acceleration Architecture (DPAA) AN129 David Lapp Senior System Architect What is the Datapath Acceleration Architecture (DPAA)? The QorIQ DPAA is a

More information

RK3036 Kylin Board Hardware Manual V0.1

RK3036 Kylin Board Hardware Manual V0.1 RK3036 Kylin Board Hardware Manual V0.1 Content 1 Introduction 1.1 Kylin at first glance 1.2 Boot to console 1.3 Key features 1.4 Block diagram 2 Key parts in details 2.1 Processor 2.2 Memory 2.3 Storage

More information

A design of real-time image processing platform based on TMS320C6678

A design of real-time image processing platform based on TMS320C6678 Advanced Materials Research Online: 2014-06-25 ISSN: 1662-8985, Vols. 971-973, pp 1454-1458 doi:10.4028/www.scientific.net/amr.971-973.1454 2014 Trans Tech Publications, Switzerland A design of real-time

More information

1 66AK2H14/12/06 Features and Description

1 66AK2H14/12/06 Features and Description Check for Evaluation Modules (EVM): EVMK2H 1 66AK2H14/12/06 Features and Description 1.1 Features Eight (66AK2H14/12) or Four (66AK2H06) TMS320C66x DSP Core Subsystems (C66x CorePacs), Each With Up to

More information

KeyStone Training. Network Coprocessor (NETCP)

KeyStone Training. Network Coprocessor (NETCP) KeyStone Training Network Coprocessor (NETCP) Security Accelerator e () Agenda Motivation Firmware ae Low Level Driver (LLD) IPsec Encryption Example IPsec Decryption Example 1 Security Accelerator: Motivation

More information

On-Chip Debugging of Multicore Systems

On-Chip Debugging of Multicore Systems Nov 1, 2008 On-Chip Debugging of Multicore Systems PN115 Jeffrey Ho AP Technical Marketing, Networking Systems Division of Freescale Semiconductor, Inc. All other product or service names are the property

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

FCQ2 - P2020 QorIQ implementation

FCQ2 - P2020 QorIQ implementation Formation P2020 QorIQ implementation: This course covers NXP QorIQ P2010 and P2020 - Processeurs PowerPC: NXP Power CPUs FCQ2 - P2020 QorIQ implementation This course covers NXP QorIQ P2010 and P2020 Objectives

More information

MICROPROCESSOR SYSTEM FOR VISUAL BAKED PRODUCTS CONTROL

MICROPROCESSOR SYSTEM FOR VISUAL BAKED PRODUCTS CONTROL MICROPROCESSOR SYSTEM FOR VISUAL BAKED PRODUCTS CONTROL Krassimir Kolev, PhD University of Food Technologies - Plovdiv, Bulgaria Abstract The paper reports an authentic solution of a microprocessor system

More information

Multicore ARM KeyStone II System-on-Chip (SoC)

Multicore ARM KeyStone II System-on-Chip (SoC) AM5K2E04, AM5K2E02 SPRS864B June 2013 Revised January 2014 1 AM5K2E04/02 Features and Description 1.1 Features ARM Cortex -A15 MPCore CorePac Up to Four ARM Cortex-A15 Processor Cores at up to 1.4-GHz

More information

Design Choices for FPGA-based SoCs When Adding a SATA Storage }

Design Choices for FPGA-based SoCs When Adding a SATA Storage } U4 U7 U7 Q D U5 Q D Design Choices for FPGA-based SoCs When Adding a SATA Storage } Lorenz Kolb & Endric Schubert, Missing Link Electronics Rudolf Usselmann, ASICS World Services Motivation for SATA Storage

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

Sophon SC1 White Paper

Sophon SC1 White Paper Sophon SC1 White Paper V10 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved Version Update Content Release Date V10-2017/10/25 Copyright 2017 BITMAIN TECHNOLOGIES LIMITED All rights reserved

More information

Technical Note on NGMP Verification. Next Generation Multipurpose Microprocessor. Contract: 22279/09/NL/JK

Technical Note on NGMP Verification. Next Generation Multipurpose Microprocessor. Contract: 22279/09/NL/JK NGP-EVAL-0013 Date: 2010-12-20 Page: 1 of 7 Technical Note on NGP Verification Next Generation ultipurpose icroprocessor Contract: 22279/09/NL/JK Aeroflex Gaisler AB EA contract: 22279/09/NL/JK Deliverable:

More information

LinkSprite Technologies,.Inc. pcduino V2

LinkSprite Technologies,.Inc. pcduino V2 1 2 Contents Board Overview...3 System Features...4 Single-Board Computer Configuration...5 Pin Assignments...7 Single-Board Computer Setup...9 Required Hardware...9 Optional Hardware...9 Adjusting Screen

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description C55x DSP Operating at 125 MHz, Providing up to 250 MIPS MIPS32 4KEc 32-Bit RISC Processor, Operating at 165 MHz, Providing up to 223 Dhrystone MIPS On-Chip Peripherals Include: External Memory Interface

More information

«Real Time Embedded systems» Cyclone V SOC - FPGA

«Real Time Embedded systems» Cyclone V SOC - FPGA «Real Time Embedded systems» Cyclone V SOC - FPGA Ref: http://www.altera.com rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 SOC + FPGA (ex. Cyclone V,

More information

WS_CCESSH5-OUT-v1.01.doc Page 1 of 7

WS_CCESSH5-OUT-v1.01.doc Page 1 of 7 Course Name: Course Code: Course Description: System Development with CrossCore Embedded Studio (CCES) and the ADI ADSP- SC5xx/215xx SHARC Processor Family WS_CCESSH5 This is a practical and interactive

More information

DaVinci. DaVinci Processor CPU MHz

DaVinci. DaVinci Processor CPU MHz DaVinci DaVinci Processor CPU MHz Capture/ Display DM355** ARM926 216, 270 Capture/Display DM6467 + C64x+ TM /ARM926 600/300 Capture/Display DM648* C64x+ 720, 900 Capture/Display DM647* C64x+ 720, 900

More information

INTERNET PROTOCOL SECURITY (IPSEC) GUIDE.

INTERNET PROTOCOL SECURITY (IPSEC) GUIDE. INTERNET PROTOCOL SECURITY (IPSEC) GUIDE www.insidesecure.com INTRODUCING IPSEC NETWORK LAYER PACKET SECURITY With the explosive growth of the Internet, more and more enterprises are looking towards building

More information

Classification of Semiconductor LSI

Classification of Semiconductor LSI Classification of Semiconductor LSI 1. Logic LSI: ASIC: Application Specific LSI (you have to develop. HIGH COST!) For only mass production. ASSP: Application Specific Standard Product (you can buy. Low

More information

OCP Engineering Workshop - Telco

OCP Engineering Workshop - Telco OCP Engineering Workshop - Telco Low Latency Mobile Edge Computing Trevor Hiatt Product Management, IDT IDT Company Overview Founded 1980 Workforce Approximately 1,800 employees Headquarters San Jose,

More information

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors

Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Developing and Integrating FPGA Co-processors with the Tic6x Family of DSP Processors Paul Ekas, DSP Engineering, Altera Corp. pekas@altera.com, Tel: (408) 544-8388, Fax: (408) 544-6424 Altera Corp., 101

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

Leveraging Data Plane Acceleration Techniques on the QorIQ P4080 Processor

Leveraging Data Plane Acceleration Techniques on the QorIQ P4080 Processor June 2010 Leveraging Data Plane Acceleration Techniques on the QorIQ P4080 Processor For High-Performance Network Security Applications (v1.0) John Rekesh Software Architect, Software Products Division

More information

Advanced Embedded Systems

Advanced Embedded Systems Advanced Embedded Systems Practical & Professional Training on Advanced Embedded System Course Objectives : 1. To provide professional and industrial standard training which will help the students to get

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

2008/12/23. System Arch 2008 (Fire Tom Wada) 1

2008/12/23. System Arch 2008 (Fire Tom Wada) 1 Digital it Signal Processor System Arch 2008 (Fire Tom Wada) 1 Analog to Digital Shift System Arch 2008 (Fire Tom Wada) 2 Digital Signal Processing Applications FAX Phone Personal Computer Medical Instruments

More information

LEON4: Fourth Generation of the LEON Processor

LEON4: Fourth Generation of the LEON Processor LEON4: Fourth Generation of the LEON Processor Magnus Själander, Sandi Habinc, and Jiri Gaisler Aeroflex Gaisler, Kungsgatan 12, SE-411 19 Göteborg, Sweden Tel +46 31 775 8650, Email: {magnus, sandi, jiri}@gaisler.com

More information

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures Programmable Logic Design Grzegorz Budzyń Lecture 15: Advanced hardware in FPGA structures Plan Introduction PowerPC block RocketIO Introduction Introduction The larger the logical chip, the more additional

More information

TMS320C6678 Memory Access Performance

TMS320C6678 Memory Access Performance Application Report Lit. Number April 2011 TMS320C6678 Memory Access Performance Brighton Feng Communication Infrastructure ABSTRACT The TMS320C6678 has eight C66x cores, runs at 1GHz, each of them has

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

pcduino V3B XC4350 User Manual

pcduino V3B XC4350 User Manual pcduino V3B XC4350 User Manual 1 User Manual Contents Board Overview...2 System Features...3 Single-Board Computer Configuration......3 Pin Assignments...4 Single-Board Computer Setup...6 Required Hardware...6

More information

Digital Signal Processor 2010/1/4

Digital Signal Processor 2010/1/4 Digital Signal Processor 1 Analog to Digital Shift 2 Digital Signal Processing Applications FAX Phone Personal Computer Medical Instruments DVD player Air conditioner (controller) Digital Camera MP3 audio

More information

Gedae cwcembedded.com. The CHAMP-AV6 VPX-REDI. Digital Signal Processing Card. Maximizing Performance with Minimal Porting Effort

Gedae cwcembedded.com. The CHAMP-AV6 VPX-REDI. Digital Signal Processing Card. Maximizing Performance with Minimal Porting Effort Technology White Paper The CHAMP-AV6 VPX-REDI Digital Signal Processing Card Maximizing Performance with Minimal Porting Effort Introduction The Curtiss-Wright Controls Embedded Computing CHAMP-AV6 is

More information

Blackfin ADSP-BF533 External Bus Interface Unit (EBIU)

Blackfin ADSP-BF533 External Bus Interface Unit (EBIU) The World Leader in High Performance Signal Processing Solutions Blackfin ADSP-BF533 External Bus Interface Unit (EBIU) Support Email: china.dsp@analog.com ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction

More information

HyperLink Programming and Performance consideration

HyperLink Programming and Performance consideration Application Report Lit. Number July, 2012 HyperLink Programming and Performance consideration Brighton Feng Communication Infrastructure ABSTRACT HyperLink provides a highest-speed, low-latency, and low-pin-count

More information

Place Your Logo Here. K. Charles Janac

Place Your Logo Here. K. Charles Janac Place Your Logo Here K. Charles Janac President and CEO Arteris is the Leading Network on Chip IP Provider Multiple Traffic Classes Low Low cost cost Control Control CPU DSP DMA Multiple Interconnect Types

More information

Tutorial Introduction

Tutorial Introduction Tutorial Introduction PURPOSE: This tutorial describes the key features of the DSP56300 family of processors. OBJECTIVES: Describe the main features of the DSP 24-bit core. Identify the features and functions

More information

M7: Next Generation SPARC. Hotchips 26 August 12, Stephen Phillips Senior Director, SPARC Architecture Oracle

M7: Next Generation SPARC. Hotchips 26 August 12, Stephen Phillips Senior Director, SPARC Architecture Oracle M7: Next Generation SPARC Hotchips 26 August 12, 2014 Stephen Phillips Senior Director, SPARC Architecture Oracle Safe Harbor Statement The following is intended to outline our general product direction.

More information

参考資料. LinkSprite.com. pcduino V2

参考資料. LinkSprite.com. pcduino V2 pcduino V2 1 Contents Board Overview...3 System Features...4 Single-Board Computer Configuration......5 Pin Assignments...7 Single-Board Computer Setup......9 Required Hardware......9 Optional Hardware......9

More information

Sparrowhawk FX FPGA Video Processing Board

Sparrowhawk FX FPGA Video Processing Board Sparrowhawk FX FPGA Video Processing Board Overview Document MPI110501 Rev. 0.5 14.2.2012 Terms of use The reproduction, transmission or use of this document or its contents is not permitted without express

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

Building heterogeneous networks of green base stations on TI s KeyStone II architecture

Building heterogeneous networks of green base stations on TI s KeyStone II architecture WHITE PAPER Introduction Zhihong Lin, Strategic Marketing Manager, Wireless Base Station Infrastructure Texas Instruments Fueled by the ability to connect to any device anytime and anywhere, both interpersonal

More information

OpenMP Accelerator Model for TI s Keystone DSP+ARM Devices. SC13, Denver, CO Eric Stotzer Ajay Jayaraj

OpenMP Accelerator Model for TI s Keystone DSP+ARM Devices. SC13, Denver, CO Eric Stotzer Ajay Jayaraj OpenMP Accelerator Model for TI s Keystone DSP+ Devices SC13, Denver, CO Eric Stotzer Ajay Jayaraj 1 High Performance Embedded Computing 2 C Core Architecture 8-way VLIW processor 8 functional units in

More information

1. Overview for Cyclone V Device Family

1. Overview for Cyclone V Device Family 1. Overview for Cyclone V Device Family November 2011 CV-51001-1.1 CV-51001-1.1 Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements;

More information

FPQ9 - MPC8360E implementation

FPQ9 - MPC8360E implementation Training MPC8360E implementation: This course covers PowerQUICC II Pro MPC8360E - PowerPC processors: NXP Power CPUs FPQ9 - MPC8360E implementation This course covers PowerQUICC II Pro MPC8360E Objectives

More information

Cyclone V Device Overview

Cyclone V Device Overview 2014.10.06 CV-51001 Subscribe The Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements; and the increasing bandwidth requirements

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

CONTACT: ,

CONTACT: , S.N0 Project Title Year of publication of IEEE base paper 1 Design of a high security Sha-3 keccak algorithm 2012 2 Error correcting unordered codes for asynchronous communication 2012 3 Low power multipliers

More information

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: "Internet of Things ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: Internet of Things , Raj Kamal, Publs.: McGraw-Hill Education Lesson 6 Intel Galileo and Edison Prototype Development Platforms 1 Intel Galileo Gen 2 Boards Based on the Intel Pentium architecture Includes features of single threaded, single core and 400 MHz constant

More information

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools The hardware modules and descriptions referred to in this document are *NOT SUPPORTED* by Texas Instruments

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C- Ware, the Energy Efficient Solutions logo, Kinetis,

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C- Ware, the Energy Efficient Solutions logo, Kinetis, May 2013 Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, ColdFire+, C- Ware, the Energy Efficient Solutions logo, Kinetis, mobilegt, PEG, PowerQUICC, Processor Expert, QorIQ,

More information

Introduction to Pre-Boot Loader Supported by QorIQ Processors

Introduction to Pre-Boot Loader Supported by QorIQ Processors Introduction to Pre-Boot Loader Supported by QorIQ Processors FTF-NET-F0152 Zhongcai Zhou Application Engineer A P R. 2 0 1 4 TM External Use Introduction What does Pre-Boot Loader (PBL) do? Device configuration

More information

Integrating DMA capabilities into BLIS for on-chip data movement. Devangi Parikh Ilya Polkovnichenko Francisco Igual Peña Murtaza Ali

Integrating DMA capabilities into BLIS for on-chip data movement. Devangi Parikh Ilya Polkovnichenko Francisco Igual Peña Murtaza Ali Integrating DMA capabilities into BLIS for on-chip data movement Devangi Parikh Ilya Polkovnichenko Francisco Igual Peña Murtaza Ali 5 Generations of TI Multicore Processors Keystone architecture Lowers

More information

Hercules ARM Cortex -R4 System Architecture. Processor Overview

Hercules ARM Cortex -R4 System Architecture. Processor Overview Hercules ARM Cortex -R4 System Architecture Processor Overview What is Hercules? TI s 32-bit ARM Cortex -R4/R5 MCU family for Industrial, Automotive, and Transportation Safety Hardware Safety Features

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

QorIQ P4080 Processor Pre-Boot Loader Image Tool

QorIQ P4080 Processor Pre-Boot Loader Image Tool June 23, 2010 QorIQ P4080 Processor Pre-Boot Loader Image Tool FTF-NET-F0402 Kelley Oswalt Applications Engineer Objective Introduce the PBL Image Tool and describe it s features and use in assisting the

More information

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan

Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan Processors Hi Hsiao-Lung Chan, Ph.D. Dept Electrical Engineering Chang Gung University, Taiwan chanhl@maili.cgu.edu.twcgu General-purpose p processor Control unit Controllerr Control/ status Datapath ALU

More information

Cyclone V Device Overview

Cyclone V Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Cyclone V Devices... 3 Summary of Cyclone V Features...4 Cyclone V Device Variants and Packages...

More information

Security IP-Cores. AES Encryption & decryption RSA Public Key Crypto System H-MAC SHA1 Authentication & Hashing. l e a d i n g t h e w a y

Security IP-Cores. AES Encryption & decryption RSA Public Key Crypto System H-MAC SHA1 Authentication & Hashing. l e a d i n g t h e w a y AES Encryption & decryption RSA Public Key Crypto System H-MAC SHA1 Authentication & Hashing l e a d i n g t h e w a y l e a d i n g t h e w a y Secure your sensitive content, guarantee its integrity and

More information

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki

An Ultra High Performance Scalable DSP Family for Multimedia. Hot Chips 17 August 2005 Stanford, CA Erik Machnicki An Ultra High Performance Scalable DSP Family for Multimedia Hot Chips 17 August 2005 Stanford, CA Erik Machnicki Media Processing Challenges Increasing performance requirements Need for flexibility &

More information