IMEC CORE CMOS P. MARCHAL

Size: px
Start display at page:

Download "IMEC CORE CMOS P. MARCHAL"

Transcription

1 APPLICATIONS & 3D TECHNOLOGY IMEC CORE CMOS P. MARCHAL

2 OUTLINE What is important to spec 3D technology How to set specs for the different applications - Mobile consumer - Memory - High performance Conclusions 2

3 WHAT S IMPORTANT TO SPEC 3D TECHNOLOGY IMEC CORE CMOS

4 MECHANICAL STRESS OF THE INTEGRATION SCHEME You don t want this to happen when stacking a thin die on top of a thick bottom die How to avoid?! How to design for this!

5 COOLING SOLUTIONS High Performance high power densities, big heat sources, big thermal issues Thermal gradients on thinned top die a 2D stack (3D130C) Mobile consumer small heat sources, low power densities, minor thermal issues Strategies for spreading and removing heat from stack 5

6 MANAGING YIELD OF 3D INTERCONNECTS Yield TSV pitch=15um pitch 15um yield of TSV at edges is poor, center is excellent Yield TSV pitch=20um pitch 20um yield of TSV at edges is limited, center is excellent Higher density = more work for good yield

7 TSV INDUCED STRESS AND IMPACT ON DEVICE PERFORMANCE Decreased Ion i Single TSV at 1.7um TSV 5 4 5x5 S1 S2 S3 5 Rows TSVS4 4 3 S5 2 Columns 1 Increased Ion i 4.5% Ion variation near TSV Delta Ion vs ref [%] 7

8 TSV CAPACITANCE C dep 90 ff Majority carriers 1E-13 8E-14 Accumulation TSV Top Die TSV CTSV [F] 6E-14 Top Die 4E-14 Depletion C ox 35 ff Bottom Die C ox 2E-14 Bottom Die Vbias [V] 8

9 HOW TO SET SPECS FOR DIFFERENT APPLICATIONS IMEC CORE CMOS

10 DESIGN SPECS FOR 3D Stack organization Electric al Specs TSV Tech. Backside Tech.

11 DESIGN SPECS FOR 3D # TIERS, PACKAGE THICKNESS Stack organization Electric al Specs TSV Tech. Backside Tech. T2 T1 INTER-TIER IO (#, speed, power, Imax) 11

12 DESIGN SPECS FOR 3D # TIERS, PACKAGE THICKNESS PACKAGING TECHNOLOGY DIE THICKNESS mbump THICKNESS Stack organization T2 T1 mbump Ø INTER-TIER IO (#, speed, power, Imax) 12

13 DESIGN SPECS FOR 3D # TIERS, PACKAGE THICKNESS PACKAGING TECHNOLOGY DIE THICKNESS mbump THICKNESS RDL Backside Tech. T2 T1 mbump Ø mbump PITCH INTER-TIER IO (#, speed, power, Imax) 13

14 DESIGN SPECS FOR 3D # TIERS, PACKAGE THICKNESS PACKAGING TECHNOLOGY DIE THICKNESS TSV DIAMETER Ø TSV PITCH mbump THICKNESS TSV Tech. RDL L/S T2 T1 mbump Ø mbump PITCH INTER-TIER IO (#, speed, power, Imax) 14

15 # TIERS, PACKAGE THICKNESS PRIMARY DESIGN SPECS DRIVING 3D TECHNOLOGY TRADE-OFFS PACKAGING TECHNOLOGY DIE THICKNESS TSV DIAMETER Ø TSV PITCH mbump THICKNESS Stacking Organization TSV Tech. RDL L/S Electric al specs Backside Tech. T2 T1 RDL EOT TSV EOT mbump Ø mbump PITCH INTER-TIER IO (#, speed, power, Imax) 15

16 3D TECHNOLOGY TRENDS Convergence MOBILE CONSUMER High performance MEMORY Memory HIGH PERFORMANCE 16

17 3D TECHNOLOGY TRENDS Convergence MOBILE CONSUMER High performance MEMORY Memory HIGH PERFORMANCE 17

18 MOBILE CONSUMER ELECTRONICS Modem 2G GSM/GPRS/EDGE 3G CDMA2000/EV-HDO HSPA/WCDMA 4G LTE Power Management RF Multimedia >30MP Camera Video encoding/decoding 2D/3D gaming Audio Low power >1 GHz/1V Quadcore CPU Memory 256gB NVM >8gb DRAM Low cost 18

19 APPLICATION TRENDS MULTIMEDIA PERFORMANCE 8Gb 8-32Gb LPPDDR2 HD fs DRAM Bandwidth (Gb/s) HD1080 HD720 Application Throughput (Gb/s) Energy/bit (pj) source: STE ISSCC

20 APPLICATION TRENDS MULTIMEDIA PERFORMANCE Application throughput to DRAM memory increases with every generation. As memory bandwidth cannot be 100% efficiently used, the memory bandwidth is over-designed typically by 4x Hence, in near future, single LPDDR will not meet the targets set by the application. Possible solutions are: Use of multiple LPDDR memories in parallel Wide-IO DRAM technology As bandwidth increases, we must reduce energy/bit in IOs to limit DRAM power below 0.5W as required by the system Finally, observe that the memory storage requirements may vary between 8-32Gb. Again in excess of single DRAM die capacity 20

21 WIDE IO DRAM - BEYOND LPDDR2 12.8GB/s Mobile wide IO on logic ~1k TSV 1-2 layers DRAM Low power/noise Low design complexity IO frequency (Mhz) 8x Logic die FCBGA substrate IO count Low parallelism High design complexity 21

22 WIDE IO DRAM - BEYOND LPDDR2 Increasing bandwidth involves a trade-off between package design complexity/power and number of IO pins on DRAM die 3D changes this trade-off in favor of more pins. Lot of R&D is ongoing to define wide IO DRAM interface, which have up to 1k 3DIOs * More than one DRAM must be stacked to achieve the desired DRAM density (Max available density can be up to 8Gb per die, but up to 32Gb may be required). * cfr. QCT IEDM09, STE ISSCC10, Samsung ISSCC10 22

23 SPECS FOR MOBILE 2015 Trend Notes yield YIELD $ COST # TIERS PACKAGE THICKNESS 3-4 <0.6mm Package thickness does not scale T1 T2 3D IO SPECIFICATION >1k TSV/tier >400mHz <2.5pJ/bit Cfr. previous slides

24 SPECS FOR MOBILE 2015 Trend Notes yield YIELD $ COST # TIERS PACKAGE THICKNESS 3-4 <0.6mm Package thickness does not scale T1 T2 3D IO SPECIFICATION >1k TSV/tier >400mHz <2.5pJ/bit Cfr. previous slides SILICON DIE THICKNESS >40-30mm Minimum DRAM die thickness mbump PITCH 20-10mm Scaling further does not bring significant added value for 1k TSVs TSV Ø/PITCH 5-3mm/ 20mm-10mm TSV Ø scaling if it reduces the cost or improves reliability of TSV process RDL L/S Preferably no RDL Eliminating RDL decreases cost by 12% (assuming large volumes) TSV Cox <0.2pF No aggressive Cox scaling to achieve energy target for 3D IO 24

25 3D TECHNOLOGY TRENDS Convergence yield $ LP MOBILE CONSUMER YIELD COST LOW POWER N>2 MIXED SIGNAL High performance MEMORY Memory HIGH PERFORMANCE 25

26 3D INTEGRATION TECHNOLOGY TRENDS Convergence yield $ LP MOBILE CONSUMER YIELD COST LOW POWER N>2 MIXED SIGNAL High performance MEMORY Memory HIGH PERFORMANCE 26

27 DELIVERING MEMORY BANDWIDTH TO HIGH PERFORMANCE SYSTEMS Mobile 12.8GB/s Graphics 512GB/s 4x Low power/noise Low design complexity IO frequency (Mhz) Low parallelism High design complexity IO count 27

28 GRAPHICS MEMORY STACK 4Gb DRAM tier Logic IO + Power management IOs Data path Advanced Package substrate Processor/GPU Up to eight tiers of 4Gb for 32Gb Graphics memory source: Samsung ISSCC 2010 High number of TSVs - 4k-8k TSVs & mbumps per tier Thermal impact of GPU directly affects stacked DRAM (GPU W, DRAM thermal budget <85-95 ) 28

29 MEMORY yield YIELD $ COST N>8 WLP PACKAGING 10Y RELIABILITY 2015 Trend Notes TIERS PACKAGE THICKNESS >8 <0.5mm Many more tiers in the same package thickness WLP? T1 T2 3D IO SPECIFICATION >4k TSV/tier >1GHz <2.5pJ/bit Previous slides 29

30 yield KEY SPECS FOR MEMORY YIELD $ COST 2015 Trend Notes N>8 WLP PACKAGING 10Y RELIABILITY TIERS PACKAGE THICKNESS >8 <0.5mm Many more tiers in the same package thickness WLP? T1 T2 3D IO SPECIFICATION >4k TSV/tier >1GHz <2.5pJ/bit Previous slides SILICON DIE THICKNESS >40-30mm Minimum DRAM die thickness mbump PITCH 20-10mm Lower = better TSV Ø/PITCH 5-3mm/ 20-10mm RDL L/S Preferably no RDL TSV Cox <0.1pF Lower Cox with respect to mobile consumer as Ctsv may add up when stacking 30

31 3D TECHNOLOGY TRENDS Convergence yield $ LP MOBILE CONSUMER YIELD COST LOW POWER N>2 MIXED SIGNAL yield High performance $ 10Y WLP MEMORY YIELD COST RELIABILITY N>8 PACKAGING Memory HIGH PERFORMANCE

32 3D TECHNOLOGY TRENDS Convergence MOBILE CONSUMER yield $ YIELD COST yield High performance MEMORY YIELD $ COST LP LOW POWER N>2 WLP 10Y RELIABILITY MIXED SIGNAL N>8 PACKAGING Memory HIGH PERFORMANCE 32

33 SILICON INTERPOSER FOR HIGH PERFORMANCE System Integration Logic die (E.g. processor, GPU, FPGA) 20mmx30mm 5-6k IOs ELK/ULK BEOL & lead-free solder 1Ghz DRAM IO Analog Product customization Better form factor Improve Yield/Reliability Silicon interposer acts as stress buffer between ELK & package substrate Reduce Packaging cost* Less routing layers in the package substrate Easier Circuit Design Silicon interposer 5-10k mbumps/mixed signal 4Ghz Short in package connections Less signal/power integrity challenges Decrease power and footprint for high speed IOs * Source ASE ISSCC10 33

34 PATHFINDING DESIGN/TECHNOLOGY TRADE-OFFS µbump connections Dielectric layers [Dt3] Dt2 Dt1 DC1 Si - TSV Db1 TSV type Conformal/filled? Diameter, Aspect ratio? Flip-chip pillar or solder bump or CSP? Multilayer thin film build-up: #layers, line width & spacing, via density? Metal layers Mtp [Mt2] Optional Mt1 MC2 MC1 Mb1 Mbp Integrated passives? Backside RDL Active? 34

35 3D TECHNOLOGY TRENDS CONCLUSIONS Convergence yield $ LP MOBILE CONSUMER YIELD COST LOW POWER N>2 MIXED SIGNAL yield High performance $ 10Y WLP MEMORY YIELD COST RELIABILITY N>8 PACKAGING Memory yield 10Y HIGH PERFORMANCE YIELD INTERPOSER RELIABILITY COOLING 35

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information

TechSearch International, Inc.

TechSearch International, Inc. Silicon Interposers: Ghost of the Past or a New Opportunity? Linda C. Matthew TechSearch International, Inc. www.techsearchinc.com Outline History of Silicon Carriers Thin film on silicon examples Multichip

More information

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER

3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER 3D TECHNOLOGIES: SOME PERSPECTIVES FOR MEMORY INTERCONNECT AND CONTROLLER CODES+ISSS: Special session on memory controllers Taipei, October 10 th 2011 Denis Dutoit, Fabien Clermidy, Pascal Vivet {denis.dutoit@cea.fr}

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

Advanced Packaging For Mobile and Growth Products

Advanced Packaging For Mobile and Growth Products Advanced Packaging For Mobile and Growth Products Steve Anderson, Senior Director Product and Technology Marketing, STATS ChipPAC Growing Needs for Silicon & Package Integration Packaging Trend Implication

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux

Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Comparison & highlight on the last 3D TSV technologies trends Romain Fraux Advanced Packaging & MEMS Project Manager European 3D Summit 18 20 January, 2016 Outline About System Plus Consulting 2015 3D

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

BREAKING THE MEMORY WALL

BREAKING THE MEMORY WALL BREAKING THE MEMORY WALL CS433 Fall 2015 Dimitrios Skarlatos OUTLINE Introduction Current Trends in Computer Architecture 3D Die Stacking The memory Wall Conclusion INTRODUCTION Ideal Scaling of power

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc.

Advanced CSP & Turnkey Solutions. Fumio Ohyama Tera Probe, Inc. Advanced CSP & Turnkey Solutions Fumio Ohyama Tera Probe, Inc. Tera Probe - Corporate Overview 1. Company : Tera Probe, Inc. 2. Founded : August, 2005 3. Capital : Approx. USD118.2 million (as of March

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE

Next-Generation Electronic Packaging: Trend & Materials Challenges. Lai Group R&D ASE Next-Generation Electronic Packaging: Trend & Materials Challenges Yi-Shao Lai Group R&D ASE Jun 26, 2010 Evolution & Growth of Electronics 2 Evolution of Electronic Products Audion Tube (1906) Transistor

More information

Packaging Technology for Image-Processing LSI

Packaging Technology for Image-Processing LSI Packaging Technology for Image-Processing LSI Yoshiyuki Yoneda Kouichi Nakamura The main function of a semiconductor package is to reliably transmit electric signals from minute electrode pads formed on

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

3D SoC and Heterogeneous Integrations

3D SoC and Heterogeneous Integrations 3D SoC and Heterogeneous Integrations Content Introduction ST positioning Why 3D-Integration? CMOS Imager Sensor: the TSV success story! 3D SOC technology & applications Via Middle FE integrations Back-side

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

3-D Package Integration Enabling Technologies

3-D Package Integration Enabling Technologies 3-D Package Integration Enabling Technologies Nanium - Semi Networking Day David Clark - Choon Heung Lee - Ron Huemoeller June 27th, 2013 Enabling a Microelectronic World Mobile Communications Driving

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

3D Technologies For Low Power Integrated Circuits

3D Technologies For Low Power Integrated Circuits 3D Technologies For Low Power Integrated Circuits Paul Franzon North Carolina State University Raleigh, NC paulf@ncsu.edu 919.515.7351 Outline 3DIC Technology Set Approaches to 3D Specific Power Minimization

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012 What the fuss is all about * Source : ECN Magazine March 2011 * Source : EDN Magazine

More information

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies

Keynote Speaker. Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies Keynote Speaker Emerging High Density 3D Through Silicon Stacking (TSS) What s Next? Matt Nowak Senior Director Advanced Technology Qualcomm CDMA Technologies 8 Emerging High Density 3D Through Silicon

More information

Interposer Technology: Past, Now, and Future

Interposer Technology: Past, Now, and Future Interposer Technology: Past, Now, and Future Shang Y. Hou TSMC 侯上勇 3D TSV: Have We Waited Long Enough? Garrou (2014): A Little More Patience Required for 2.5/3D All things come to those who wait In 2016,

More information

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Ultra-thin Capacitors for Enabling Miniaturized IoT Applications Fraunhofer Demo Day, Oct 8 th, 2015 Konrad Seidel, Fraunhofer IPMS-CNT 10/15/2015 1 CONTENT Why we need thin passive devices? Integration

More information

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017 High Growth Markets Cloud Computing Automotive IIoT 5G Wireless

More information

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND 1 iphone 5 2 WiFi Front End in iphone 5 3 Broadcom BCM4334 inside

More information

3D technology for Advanced Medical Devices Applications

3D technology for Advanced Medical Devices Applications 3D technology for Advanced Medical Devices Applications By, Dr Pascal Couderc,Jerome Noiray, Dr Christian Val, Dr Nadia Boulay IMAPS MEDICAL WORKSHOP DECEMBER 4 & 5,2012 P.COUDERC 3D technology for Advanced

More information

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research

Challenges of Integration of Complex FHE Systems. Nancy Stoffel GE Global Research Challenges of Integration of Complex FHE Systems Nancy Stoffel GE Global Research Products drive requirements to sub-systems, components and electronics GE PRODUCTS CTQs: SWaP, $$, operating environment,

More information

Five Emerging DRAM Interfaces You Should Know for Your Next Design

Five Emerging DRAM Interfaces You Should Know for Your Next Design Five Emerging DRAM Interfaces You Should Know for Your Next Design By Gopal Raghavan, Cadence Design Systems Producing DRAM chips in commodity volumes and prices to meet the demands of the mobile market

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

Embedded UTCP interposers for miniature smart sensors

Embedded UTCP interposers for miniature smart sensors Embedded UTCP interposers for miniature smart sensors T. Sterken 1,2, M. Op de Beeck 2, Tom Torfs 2, F. Vermeiren 1,2, C. Van Hoof 2, J. Vanfleteren 1,2 1 CMST (affiliated with Ugent and IMEC), Technologiepark

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

Quilt Packaging For Power Electronics

Quilt Packaging For Power Electronics Quilt Packaging For Power Electronics 21 March 2013 Jason M. Kulick President, Co-Founder Indiana Integrated Circuits, LLC Overview Introduction Quilt Packaging (QP) technology Concept Examples Advantages

More information

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA Total Inspection Solutions Ensuring Known-Good 3DIC Package Nevo Laron, Camtek USA, Santa Clara, CA Density Packaging Trends vs. Defect Costs Functionality Package Yield 3DIC yield statistics 101 1.00

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology

Technology Platform and Trend for SiP Substrate. Steve Chiang, Ph.D CSO of Unimicron Technology Technology Platform and Trend for SiP Substrate Steve Chiang, Ph.D CSO of Unimicron Technology Contents Unimicron Introduction SiP Evolution Unimicron SiP platform - PCB, RF, Substrate, Glass RDL Connector.

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor

PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor PicoServer : Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor Taeho Kgil, Shaun D Souza, Ali Saidi, Nathan Binkert, Ronald Dreslinski, Steve Reinhardt, Krisztian Flautner,

More information

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left?

Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Japanese two Samurai semiconductor ventures succeeded in near 3D-IC but failed the business, why? and what's left? Liquid Design Systems, Inc CEO Naoya Tohyama Overview of this presentation Those slides

More information

Thermal Management Challenges in Mobile Integrated Systems

Thermal Management Challenges in Mobile Integrated Systems Thermal Management Challenges in Mobile Integrated Systems Ilyas Mohammed March 18, 2013 SEMI-THERM Executive Briefing Thermal Management Market Visions & Strategies, San Jose CA Contents Mobile computing

More information

VISUALIZING THE PACKAGING ROADMAP

VISUALIZING THE PACKAGING ROADMAP IEEE SCV EPS Chapter Meeting 3/13/2019 VISUALIZING THE PACKAGING ROADMAP IVOR BARBER CORPORATE VICE PRESIDENT, PACKAGING AMD IEEE EPS Lunchtime Presentation March 2019 1 2 2 www.cpmt.org/scv 3/27/2019

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA The Future of Electrical I/O for Microprocessors Frank O Mahony frank.omahony@intel.com Intel Labs, Hillsboro, OR USA 1 Outline 1TByte/s I/O: motivation and challenges Circuit Directions Channel Directions

More information

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs 1/16 Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs Kyungwook Chang, Sung-Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Introduction Challenges in 2D Device

More information

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors

Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Applications, Processing and Integration Options for High Dielectric Constant Multi-Layer Thin-Film Barium Strontium Titanate (BST) Capacitors Agenda Introduction What is BST? Unique Characteristics of

More information

Comparison of Singulation Techniques

Comparison of Singulation Techniques Comparison of Singulation Techniques Electronic Packaging Society, Silicon Valley Chapter Sept. 28, 2017 ANNETTE TENG Sept 28, 2017 1 Definition of Singulation 9/28/2017 Annetteteng@promex-ind.com 2 www.cpmt.org/scv

More information

Development of innovative ALD materials for high density 3D integrated capacitors

Development of innovative ALD materials for high density 3D integrated capacitors Development of innovative ALD materials for high density 3D integrated capacitors Malte Czernohorsky General Trend: System miniaturization Integration of passive components Capacitors Inductors Resistors

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

Memory Demand Trends and what they Mean to Packaging Technology

Memory Demand Trends and what they Mean to Packaging Technology Memory Demand Trends and what they Mean to Packaging Technology Ravi Mahajan May 31, 2016 Key Contributors: Suresh Chittor, Randy Osborne, Bob Sankman IEEE 66 th ECTC Las Vegas, NV, USA May 31 June 3,

More information

3D Integration: New Opportunities for Speed, Power and Performance. Robert Patti, CTO

3D Integration: New Opportunities for Speed, Power and Performance. Robert Patti, CTO 3D Integration: New Opportunities for Speed, Power and Performance Robert Patti, CTO rpatti@tezzaron.com 1 Advantages Why We Scale? What can 3D do for us? Speed Power Cost Size >180nm 130nm 90nm 65nm 45nm

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights Packaging of Selected Advanced Logic in 2x and 1x nodes 1 I TechInsights Logic: LOGIC: Packaging of Selected Advanced Devices in 2x and 1x nodes Xilinx-Kintex 7XC 7 XC7K325T TSMC 28 nm HPL HKMG planar

More information

The FPGA: An Engine for Innovation in Silicon and Packaging Technology

The FPGA: An Engine for Innovation in Silicon and Packaging Technology The FPGA: An Engine for Innovation in Silicon and Packaging Technology Liam Madden Corporate Vice President September 2 nd, 2014 The Zynq Book Embedded Processing with the ARM Cortex-A9 on the Xilinx Zynq

More information

Three Dimensional Integration

Three Dimensional Integration Three Dimensional Integration Paul Franzon North Carolina State University Raleigh, NC paulf@ncsu.edu 919.515.7351 Outline 3DIC Motivation Performance and Memory Bandwidth Power Efficiency Power per unit

More information

From Advanced Package to 2.5D/3D IC. Amkor Technology : Choon Lee

From Advanced Package to 2.5D/3D IC. Amkor Technology : Choon Lee From Advanced Package to 2.5D/3D IC Amkor Technology : Choon Lee History says Low pin High pin & Integration As Multi-function pager City phone / PCS Feature Phone Smart Phone SOIC QFP PBGA Package-on-Package

More information

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System

3D Hetero-Integration Technology for Future Automotive Smart Vehicle System 3D Hetero-Integration Technology for Future Automotive Smart Vehicle System Kangwook Lee, Ph.D Professor, NICHe, Tohoku University Deputy Director, Global INTegration Initiative (GINTI) Kangwook Lee, Tohoku

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

Material technology enhances the density and the productivity of the package

Material technology enhances the density and the productivity of the package Material technology enhances the density and the productivity of the package May 31, 2018 Toshihisa Nonaka, Ph D. Packaging Solution Center Advanced Performance Materials Business Headquarter Hitachi Chemical

More information

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology

Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology Innovative 3D Structures Utilizing Wafer Level Fan-Out Technology JinYoung Khim #, Curtis Zwenger *, YoonJoo Khim #, SeWoong Cha #, SeungJae Lee #, JinHan Kim # # Amkor Technology Korea 280-8, 2-ga, Sungsu-dong,

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation

Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation Near Term Solutions for 3D Memory Stacking (DRAM) Wael Zohni, Invensas Corporation 1 Contents DRAM Packaging Paradigm Dual-Face-Down (DFD) Package DFD-based 4R 8GB RDIMM Invensas xfd Technology Platform

More information

High performance HBM Known Good Stack Testing

High performance HBM Known Good Stack Testing High performance HBM Known Good Stack Testing FormFactor Teradyne Overview High Bandwidth Memory (HBM) Market and Technology Probing challenges Probe solution Power distribution challenges PDN design Simulation

More information

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems

Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Beyond Chip Stacking---Quilt Packaging Enabled 3D Systems Jason Kulick, President & Co-Founder jason.kulick@indianaic.com 574-217-4612 (South Bend, IN) May 3, 2016 2016 New England IMAPS Symposium Presentation

More information

----- Meeting Notes (10/8/13 10:34) The mobile market is driving growth and inovation in packaging.

----- Meeting Notes (10/8/13 10:34) The mobile market is driving growth and inovation in packaging. ----- Meeting Notes (10/8/13 10:34) ----- The mobile market is driving growth and inovation in packaging. 2 Mobile drives growth and the future of computing Dynamic of industry is quickly changing Devices

More information

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION

DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION ALL SILICON SYSTEM INTEGRATION DRESDEN ASSID ALL SILICON SYSTEM INTEGRATION DRESDEN FRAUNHOFER IZM-ASSID

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES

SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES AGENDA RECON PACKAGING TECHNOLOGY FOR SYSTEM INTEGRATION & PORTABLE/WEARABLE/IOT DEVICES Edward Law Senior Director Package Engineering, Operations and Central Engineering 1 OUTLINE Market dynamics Connectivity

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

Packaging for parallel optical interconnects with on-chip optical access

Packaging for parallel optical interconnects with on-chip optical access Packaging for parallel optical interconnects with on-chip optical access I. INTRODUCTION Parallel optical interconnects requires the integration of lasers and detectors directly on the CMOS chip. In the

More information

>Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation.

>Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation. Agenda >Introduction Ibiden Products Over View and Technology Trend. Embedding technology and Expectation. >Embedded Device Technology #1. Embedded MLCC in FCCSP Substrate. #2. Embedded Active Device.

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

A Low Power 720p Motion Estimation Processor with 3D Stacked Memory

A Low Power 720p Motion Estimation Processor with 3D Stacked Memory A Low Power 720p Motion Estimation Processor with 3D Stacked Memory Shuping Zhang, Jinjia Zhou, Dajiang Zhou and Satoshi Goto Graduate School of Information, Production and Systems, Waseda University 2-7

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. The Ascendance of Advanced Packaging: The Future is Now Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia. Market Dynamics Market Trends Package Opportunities Summary Economics

More information

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Gigascale Integration Design Challenges & Opportunities Shekhar Borkar Circuit Research, Intel Labs October 24, 2004 Outline CMOS technology challenges Technology, circuit and μarchitecture solutions Integration

More information

Next Generation Package on Package

Next Generation Package on Package Next Generation Package on Package Alternative PoP with Routable Substrate Interposer for Stacking Solution Steven(Jui Cheng) Lin, Siliconware Precision Industries Co., Ltd Outline High IO / Wide IO Market

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features Description The SFC2282-50 is a low pass T-filter with integrated TVS diodes. It is designed to provide bidirectional filtering of EMI/RFI signals and electrostatic discharge (ESD) protection in portable

More information

Low Power & High Bandwidth Memory Trend

Low Power & High Bandwidth Memory Trend Low Power & High Bandwidth Memory Trend JEDEC Mobile & IOT Forum Copyright 2016 [ SK hynix / Sungmin(Sam) Park] Where Do We Stand? SK hynix Developed the World s First Next Generation Mobile Memory: LPDDR4

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

Thermo Mechanical Modeling of TSVs

Thermo Mechanical Modeling of TSVs Thermo Mechanical Modeling of TSVs Jared Harvest Vamsi Krishna ih Yaddanapudi di 1 Overview Introduction to Through Silicon Vias (TSVs) Advantages of TSVs over wire bonding in packages Role of TSVs in

More information

FO-WLP: Drivers for a Disruptive Technology

FO-WLP: Drivers for a Disruptive Technology FO-WLP: Drivers for a Disruptive Technology Linda Bal, Senior Analyst w w w. t e c h s e a r c h i n c. c o m Outline Industry drivers for IC package volumes WLP products and drivers Fan-in WLP FO-WLP

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note

Non-destructive, High-resolution Fault Imaging for Package Failure Analysis. with 3D X-ray Microscopy. Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D X-ray Microscopy Application Note Non-destructive, High-resolution Fault Imaging for Package Failure Analysis with 3D

More information

The 3D-Memory Evolution

The 3D-Memory Evolution The 3D-Memory Evolution ISC 2015 /, Director Marcom + SBD EMEA Legal Disclaimer This presentation is intended to provide information concerning computer and memory industries. We do our best to make sure

More information