technology Leadership

Size: px
Start display at page:

Download "technology Leadership"

Transcription

1 technology Leadership MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017

2 Legal Disclaimer DISCLOSURES China Tech and Manufacturing Day 2017 occurs during Intel s Quiet Period, before Intel announces its 2017 third quarter financial and operating results. Therefore, presenters will not be addressing third quarter information during this year s program. Statements in this presentation that refer to forecasts, future plans and expectations are forward-looking statements that involve a number of risks and uncertainties. Words such as anticipates, expects, intends, goals, plans, believes, seeks, estimates, continues, may, will, would, should, could, and variations of such words and similar expressions are intended to identify such forward-looking statements. Statements that refer to or are based on projections, uncertain events or assumptions also identify forward-looking statements. Such statements are based on management s expectations as of September 19-20, 2017, and involve many risks and uncertainties that could cause actual results to differ materially from those expressed or implied in these forward-looking statements. Important factors that could cause actual results to differ materially from the company s expectations are set forth in Intel s earnings release dated July 27, 2017, which is included as an exhibit to Intel s Form 8-K furnished to the SEC on such date. Additional information regarding these and other factors that could affect Intel s results is included in Intel s SEC filings, including the company s most recent reports on Forms 10-K, 10-Q and 8-K reports may be obtained by visiting our Investor Relations website at or the SEC s website at

3 10 nm Hyper Scaling 22FFL technology Future Research

4 14 nm hyper Scaling features Fin Pitch Interconnect Pitch Cell Height Gate Pitch 60 nm 42 nm 80 nm 52 nm 840 nm 399 nm 90 nm 70 nm.70x.65x.48x.78x 22 nm 14 nm 22 nm 14 nm 22 nm 14 nm 22 nm 14 nm 14 nm uses aggressive feature scaling to deliver unprecedented 0.37x logic cell area scaling

5 14 nm products Wide range of 14 nm products in volume production on various derivative technologies

6 10 nm Hyper Scaling Fin Pitch Min Metal Pitch Cell Height Gate Pitch 42 nm 34 nm 52 nm 36 nm 399 nm 272 nm 70 nm 54 nm.81x.69x.68x.78x 14 nm 10 nm 14 nm 10 nm 14 nm 10 nm 14 nm 10 nm Double Quad Pattern Pattern 10 nm features aggressive pitch scaling - world s first self-aligned quad patterning

7 10 nm Hyper Scaling Fin Pitch Min Metal Pitch Cell Height Gate Pitch Dummy Gate Gate Contact 42 nm 34 nm 52 nm 36 nm 399 nm 272 nm 70 nm 54 nm Double Single Std COAG.81x.69x.68x.78x Contact Contact 14 nm 10 nm 14 nm 10 nm 14 nm 10 nm 14 nm 10 nm 14 nm 10 nm 14 nm 10 nm Double Quad Pattern Pattern Aggressive scaling & new features deliver 2.7x transistor density improvement

8 3 rd generation finfets 22 nm 14 nm 10 nm 53 nm 34 nm 10 nm fins are ~25% taller and ~25% more closely spaced than 14 nm

9 Gate Gate Contact Over Active gate Transistor Transistor Fins Contact Contact Contact over active gate is a revolutionary feature for another ~10% area scaling

10 Single dummy Gate Active Gate 14 nm 10 nm Dummy Gates Active Gate Single Dummy Gate Process innovations enable denser single dummy gate at cell borders

11 Cell Library Height Scaling 14 nm 399nm Height 10 nm 272nm Height (0.68x) Cell Height Cell Height Gate Pitch Gate Pitch Fin pitch and metal pitch scaling allow cell height to scale 0.68x from 14 nm

12 Logic Transistor density 1 45nm.49x Logic Area Metric 32nm.45x Gate Pitch Logic Area (relative) nm 14nm.37x.37x Logic Cell Height 10nm Logic Cell Width HVM Wafer Start Date Hyper scaling delivers better-than-normal logic area scaling

13 Logic Transistor density nm Intel 14nm 2.7x Transistor Density MTr / mm nm 22nm 2.1x 2.5x 60/40 NAND+SFF Density Metric 45nm 2.3x HVM Wafer Start Date Hyper scaling delivers better-than-normal transistor density improvement

14 Logic Transistor density 100 Intel 14nm 10nm Transistor Density MTr / mm nm 22nm MTr / mm 2 60/40 NAND+SFF Density Metric 45nm HVM Wafer Start Date 10 nm hyper scaling features result in transistor density above 100 MTr/mm 2

15 Intel 22FFL TSMC 20 nm Samsung 20 nm Intel 14 nm TSMC 16 nm Samsung 14 nm Intel 10 nm TSMC 10 nm Samsung 10 nm Logic Transistor density comparison Transistor Density (MTr/mm 2 ) 60/40 NAND+SFF Density Metric 10 20/22 nm 14/16 nm 10 nm Intel 10 nm is a full generation denser than other 10 nm

16 10 nm technology density Comparison Intel TSMC Samsung 10 nm 10 nm 10 nm Fin Pitch nm Gate Pitch nm Minimum Metal Pitch nm Logic Cell Height nm Logic Trans. Density MTr/mm 2 Logic Trans. Density 1x 0.48x 0.51x Relative Intel 10 nm is ahead of other 10 nm technologies on every density metric

17 SRAM AREA SCALING SRAM Cell Area (um 2 ) 0.1 HP.0441 LV.0367 HD.0312 um nm 32nm 22nm 14nm 10nm 7nm 10 nm offers a range of SRAM cells for density and power/performance SRAM cell area scaled ~0.6x from 14 nm

18 Transistor Performance (log scale) Dynamic Capacitance (log scale) Performance per Watt (log scale) Transistor performance and power Performance Power Performance per Watt 32nm 22nm 14nm Higher Performance 10nm Lower Power 10nm Better Perf/Watt 10nm 14nm 32nm 22nm 14nm 32nm 22nm Process Readiness Date Process Readiness Date Process Readiness Date 10 nm transistors provide improved performance per watt

19 Transistor Performance (log scale) Dynamic Capacitance (log scale) Performance per Watt (log scale) Transistor performance and power Performance Power Performance per Watt 32nm 22nm nm Higher Performance nm Lower Power 10nm Better Perf/Watt nm 14nm 32nm 22nm 14nm 32nm 22nm Process Readiness Date Process Readiness Date Process Readiness Date 10 nm enhancements improve performance and extend technology life

20 10nm performance and power Comparison Active Power Samsung ~30% TSMC ~20% Intel Lower Power Higher Performance Performance Intel 10 nm has a considerable performance lead over other 10 nm

21 Derivative technologies CPU SoC High Perf Transistors Yes Yes Low Leakage Transistors - Yes Analog/RF Transistors - Yes HV I/O Transistors - Yes High-Q Inductors - Yes Precision Resistors Yes Yes MIMCAP Yes Yes Device Options Low Cost Dense High Perf Interconnect Stack Options Multiple derivative options offered for each technology generation

22 10 nm Summary Intel s 10 nm process technology has the world s tightest transistor & metal pitches along with hyper scaling features for leadership density Intel s 10 nm technology is a full generation ahead of other 10 nm technologies Intel s 10 nm process technology is on track to commence manufacturing in 2H 17 Hyper scaling extracts the full value of multi-patterning schemes and allows Intel to continue the economic benefits of Moore s Law

23 10 nm Hyper Scaling 22FFL technology Future Research

24 Intel s new 22FFL technology 22FFL is the world s first FinFET technology for low power IOT and mobile products Advanced FinFET transistors based on proven 22 nm and 14 nm features >100x leakage power reduction with new ultra-low leakage transistor option Simplified interconnects and design rules based on 22 nm technology New levels of design automation Fully RF design enabled Cost competitive with other industry 28/22 nm planar technologies

25 22FFL dimensions 22 nm 22FFL 14 nm Transistor FinFET FinFET FinFET Fin Pitch nm Gate Pitch nm Metal Pitch nm Logic Cell Ht nm Trans. Density MTr / mm 2 SRAM Cell um 2 22FFL is based on proven 22 nm and 14 nm features

26 22FFL devices High performance transistors Ultra low leakage transistors Analog transistors High voltage I/O transistors High voltage power transistors Good device matching Low 1/F noise Deep N-well isolation Precision resistor MIM capacitor High resistance substrate High-Q inductors 22FFL offers a wide range of devices for digital and analog/rf design

27 FinFET Performance and leakage Advantage Transistor Gate Delay (normalized) % Faster 22 nm Tri-Gate 32 nm Planar 18% Faster Operating Voltage (V) Channel Current (normalized) Planar Reduced Leakage Tri-Gate 1E Gate Voltage (V) FinFETs provide a significant performance and leakage advantage over any planar transistor Intel 22 nm Tri-Gate announcement, April 2011

28 22FFl high performance transistors V 10 22GP >40% Higher Drive at Same Leakage 1 22FFL Total Leakage (na/um) 0.1 >18x Lower Leakage Lower Leakage Higher Performance Drive Current (ma/um) 22FFL provides high performance transistors with drive currents similar to 14nm++

29 22FFL Low leakage transistors V 10 22GP 1 22FFL Total Leakage (na/um) FFL Low Leakage >500x Lower Leakage >18x Lower Leakage Lower Leakage Higher Performance Drive Current (ma/um) 22FFL provides the lowest leakage transistors for any mainstream technology

30 Intel Custom Foundry s robust Ecosystem Design Service Design Tools & Flows Intel Custom Foundry Soft IP Foundation IP Advanced IP 22FFL is fully supported by a robust design ecosystem Other names and brands may be claimed as the property of others

31 22FFL technology 22FFL is an exciting new technology that provides a compelling combination of performance, power, density and ease-of-design for low power IOT and mobile products High transistor drive currents similar to Intel 14 nm Low leakage transistors with >500x lower total leakage than 22GP Die area scaling better than industry 28/22 nm technologies Wide range of advanced analog/rf devices Extensive use of single patterning for affordable ease-of-design Mature die yield with use of proven 22/14 nm features Cost competitive with other 28/22 nm planar technologies Industry standard PDK1.0 available now Production readiness in Q4 2017

32 10 nm Hyper Scaling 22FFL technology Future Research

33 Gate Innovation enabled technology pipeline 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm 5 nm 3 nm Manufacturing Development Research Contact High-k Metal Gate FinFETs Contact over Gate SA Double Patterning SA Quad Patterning Intel has been the industry leader in bringing innovative technologies from research to high volume manufacturing

34 Gate Innovation enabled technology pipeline 45 nm 32 nm 22 nm 14 nm 10 nm 7 nm 5 nm 3 nm Manufacturing Development Research III-V Contact III-V Transistors 3D Stacking Material Synthesis High-k Metal Gate FinFETs Contact over Gate 2D Materials Nanowires EUV Patterning 10nm SA Double Patterning SA Quad Patterning Interconnects Beyond CMOS Dense Memory Future options subject to change We have a wide range of options in research to continue Moore s Law

35 Research projects Nanowire Transistors III-V Transistors 3D Stacking Dense Memory 10nm Dense Interconnects EUV Patterning Neuromorphic Computing Spintronics

36 Intel Oregon Campus Intel s main research & development site

37 Intel Oregon Campus Research Development Manufacturing Modeling Sort/Test Advanced Design Automation Reliability Technology leadership is the result of close collaboration at one site

38 Summary Hyper scaling on Intel 14 nm and 10 nm technologies provides better than normal scaling and delivers improved cost per transistor and performance per watt Intel s 10 nm technology is a full generation ahead of other 10 nm technologies 22FFL provides a compelling combination of performance, power, density and ease-of-design for low power IOT and mobile products Multiple technology options now in research will enable the continuation of Moore s Law for at least the next 10 years

Continuing Moore s law

Continuing Moore s law Continuing Moore s law MARK BOHR INTEL SENIOR FELLOW, TECHNOLOGY AND MANUFACTURING GROUP DIRECTOR, PROCESS ARCHITECTURE AND INTEGRATION SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

Strategy overview STACY J. SMITH GROUP PRESIDENT, MANUFACTURING, OPERATIONS AND SALES SEPTEMBER 19, 2017 TECHNOLOGY AND MANUFACTURING DAY

Strategy overview STACY J. SMITH GROUP PRESIDENT, MANUFACTURING, OPERATIONS AND SALES SEPTEMBER 19, 2017 TECHNOLOGY AND MANUFACTURING DAY Strategy overview STACY J. SMITH GROUP PRESIDENT, MANUFACTURING, OPERATIONS AND SALES SEPTEMBER 19, 2017 Legal Disclaimer DISCLOSURES China Tech and Manufacturing Day 2017 occurs during Intel s Quiet Period,

More information

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY Leading at the edge IDM ADVANTAGE DR. MURTHY RENDUCHINTALA President - Client, IoT Businesses & Systems Architecture Group Disclosures Intel Technology and Manufacturing Day 2017 occurs during Intel s

More information

Side Channel Analysis Security issue ANALYST CONSULTATION JANUARY

Side Channel Analysis Security issue ANALYST CONSULTATION JANUARY Side Channel Analysis Security issue ANALYST CONSULTATION JANUARY 3 2018 briefing Objectives Provide information on a new class of security issue & mitigation Provide context on how this issue has brought

More information

Risk Factors. Rev. 4/19/11

Risk Factors. Rev. 4/19/11 Risk Factors Today s presentations contain forward-looking statements. All statements made that are not historical facts are subject to a number of risks and uncertainties, and actual results may differ

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions 2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges

More information

Advanced Heterogeneous Solutions for System Integration

Advanced Heterogeneous Solutions for System Integration Advanced Heterogeneous Solutions for System Integration Kees Joosse Director Sales, Israel TSMC High-Growth Applications Drive Product and Technology Smartphone Cloud Data Center IoT CAGR 12 17 20% 24%

More information

Data-Centric Innovation Summit DAN MCNAMARA SENIOR VICE PRESIDENT GENERAL MANAGER, PROGRAMMABLE SOLUTIONS GROUP

Data-Centric Innovation Summit DAN MCNAMARA SENIOR VICE PRESIDENT GENERAL MANAGER, PROGRAMMABLE SOLUTIONS GROUP Data-Centric Innovation Summit DAN MCNAMARA SENIOR VICE PRESIDENT GENERAL MANAGER, PROGRAMMABLE SOLUTIONS GROUP Devices / edge network Cloud/data center Removing data Bottlenecks with Fpga acceleration

More information

Fast forward. To your <next>

Fast forward. To your <next> Fast forward To your Risk Factors This presentation occurs during Intel s Quiet Period, before Intel announces its financial and operating results for the second quarter of 2017. Therefore, presenters

More information

Common Platform Ecosystem Enablement

Common Platform Ecosystem Enablement Joe Abler Common Platform Ecosystem Enablement IBM provides a complete Foundry solution Innovative technology Leadership road map with advanced SiGe & RF offerings Leading-edge CMOS process development

More information

Driving network transformation DAN RODRIGUEZ VICE PRESIDENT DATA CENTER GROUP GENERAL MANAGER COMMUNICATIONS INFRASTRUCTURE DIVISION

Driving network transformation DAN RODRIGUEZ VICE PRESIDENT DATA CENTER GROUP GENERAL MANAGER COMMUNICATIONS INFRASTRUCTURE DIVISION Driving network transformation DAN RODRIGUEZ VICE PRESIDENT DATA CENTER GROUP GENERAL MANAGER COMMUNICATIONS INFRASTRUCTURE DIVISION Transforming the network Data is exploding requires higher capacity

More information

Moore s s Law, 40 years and Counting

Moore s s Law, 40 years and Counting Moore s s Law, 40 years and Counting Future Directions of Silicon and Packaging Bill Holt General Manager Technology and Manufacturing Group Intel Corporation InterPACK 05 2005 Heat Transfer Conference

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior vice president, Technology & Manufacturing 27 in review Manufacturing strategy continues to deliver financial results Accelerating analog leadership Increased

More information

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group I N V E N T I V E DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group Moore s Law & More : Tall And Thin More than Moore: Diversification Moore s

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 1: Introduction to VLSI Technology Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Course Objectives

More information

Taming the Challenges of 20nm Custom/Analog Design

Taming the Challenges of 20nm Custom/Analog Design Taming the Challenges of 20nm Custom/Analog Design Custom and analog designers will lay the foundation for 20nm IC design. However, they face many challenges that arise from manufacturing complexity. The

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

Risk Factors. Rev. 4/19/11

Risk Factors. Rev. 4/19/11 Risk Factors Today s presentations contain forward-looking statements. All statements made that are not historical facts are subject to a number of risks and uncertainties, and actual results may differ

More information

FAST FORWARD TO YOUR <NEXT> CREATION

FAST FORWARD TO YOUR <NEXT> CREATION FAST FORWARD TO YOUR CREATION THE ULTIMATE PROFESSIONAL WORKSTATIONS POWERED BY INTEL XEON PROCESSORS 7 SEPTEMBER 2017 WHAT S NEW INTRODUCING THE NEW INTEL XEON SCALABLE PROCESSOR BREAKTHROUGH PERFORMANCE

More information

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014 Market Update Peter Jenkins Vice President, Marketing 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including

More information

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES Introducing the 22FDX 22nm FD-SOI Platform from GLOBALFOUNDRIES March 2016 Introduction Selecting a next generation technology platform for your new product is a critical decision. Product requirements

More information

Intel SSD Data center evolution

Intel SSD Data center evolution Intel SSD Data center evolution March 2018 1 Intel Technology Innovations Fill the Memory and Storage Gap Performance and Capacity for Every Need Intel 3D NAND Technology Lower cost & higher density Intel

More information

Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve

Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve a number of risks and uncertainties. Words such as

More information

Investor Presentation October 2018

Investor Presentation October 2018 Investor Presentation October 2018 Safe Harbor This presentation contains forward-looking statements concerning Atomera Incorporated ( Atomera, the Company, we, us, and our ). The words believe, may, will,

More information

A U G U S T 8, S A N T A C L A R A, C A

A U G U S T 8, S A N T A C L A R A, C A A U G U S T 8, 2 0 1 8 S A N T A C L A R A, C A Data-Centric Innovation Summit LISA SPELMAN VICE PRESIDENT & GENERAL MANAGER INTEL XEON PRODUCTS AND DATA CENTER MARKETING Increased integration and optimization

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

Mobile World Congress Claudine Mangano Director, Global Communications Intel Corporation

Mobile World Congress Claudine Mangano Director, Global Communications Intel Corporation Mobile World Congress 2015 Claudine Mangano Director, Global Communications Intel Corporation Mobile World Congress 2015 Brian Krzanich Chief Executive Officer Intel Corporation 4.9B 2X CONNECTED CONNECTED

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Future Matters US Disclosure

Future Matters US Disclosure Disclaimer This presentation has been prepared by Samsung Electronics Co., Ltd. (the "Company"). It does not purport to contain all the information that a prospective investor may require in connection

More information

Intel Corporation Silicon Technology Review

Intel Corporation Silicon Technology Review Intel Corporation Silicon Technology Review Ken David Director, Components Research SEMI Strategic Business Conference April 2003 Agenda Corporate Mission Leadership in Technology Leadership in Integration

More information

Semiconductors. Overweight. Sector Note. Samsung Electronics bets on 14nm FinFET

Semiconductors. Overweight. Sector Note. Samsung Electronics bets on 14nm FinFET Sector Note April 18, 2014 Semiconductors Overweight Samsung Electronics bets on 14nm FinFET Company Rating TP (KRW) Samsung Electronics BUY 1,800,000 Samsung Electronics and Globalfoundries announce One

More information

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture : Scaling Trends Announcements No office hour next Monday Extra office hours Tuesday and Thursday -3pm CMOS Scaling Rules Voltage, V / α tox/α

More information

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D, WLSI Extends Si Processing and Supports Moore s Law Douglas Yu TSMC R&D, chyu@tsmc.com SiP Summit, Semicon Taiwan, Taipei, Taiwan, Sep. 9 th, 2016 Introduction Moore s Law Challenges Heterogeneous Integration

More information

5.2 Technology Leadership

5.2 Technology Leadership 5.1.4 Production in 2009 and 2008 Unit: Capacity / Output (8-inch equivalent wafers) / Amount (NT$ thousands) Wafers Year Capacity Output Amount 2009 9,954,558 7,582,664 150,572,709 2008 9,376,612 8,350,692

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

International Technology Roadmap for Semiconductors

International Technology Roadmap for Semiconductors International Technology Roadmap for Semiconductors 2007 ITRS ORTC [12/5 Makuhari Japan ITRS Public Conference] A.Allan, Rev 0.0 (For IRC Review) 10/29/07 1 Agenda Moore s Law and More Definitions Technology

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

Data-Centric Innovation Summit ALPER ILKBAHAR VICE PRESIDENT & GENERAL MANAGER MEMORY & STORAGE SOLUTIONS, DATA CENTER GROUP

Data-Centric Innovation Summit ALPER ILKBAHAR VICE PRESIDENT & GENERAL MANAGER MEMORY & STORAGE SOLUTIONS, DATA CENTER GROUP Data-Centric Innovation Summit ALPER ILKBAHAR VICE PRESIDENT & GENERAL MANAGER MEMORY & STORAGE SOLUTIONS, DATA CENTER GROUP tapping data value, real time MOUNTAINS OF UNDERUTILIZED DATA Challenge Shifting

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Kevin Ritchie Senior Vice President Technology and Manufacturing Group Development & Manufacturing Strategy Process Technology Leadership Flexible Development Options Internal

More information

CircuitsMulti-Projets

CircuitsMulti-Projets From layout to chips CircuitsMulti-Projets MPW Services Center for ICs, Photonics & MEMS Prototyping & Low Volume Production mycmp.fr Grenoble - France From layout to chips STMicroelectronics Standard

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

February Investor Presentation

February Investor Presentation February 2015 Investor Presentation Forward-Looking Statements This document contains forward-looking statements that involve risks and uncertainties. These forward-looking statements include statements

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 10: Three-Dimensional (3D) Integration Instructor: Ron Dreslinski Winter 2016 University of Michigan 1 1 1 Announcements

More information

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys White Paper FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys April, 2013 Authors Andy Biddle Galaxy Platform Marketing, Synopsys Inc. Jason S.T.

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

ARCHIVE 2008 COPYRIGHT NOTICE

ARCHIVE 2008 COPYRIGHT NOTICE Keynote Speaker ARCHIVE 2008 Packaging & Assembly in Pursuit of Moore s Law and Beyond Karl Johnson Ph.D. Vice President and Senior Fellow Advanced Packaging Systems Integration Laboratory Freescale Semiconductor

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

Technology is a Journey

Technology is a Journey Technology is a Journey Not a Destination Renée James President, Intel Corp Other names and brands may be claimed as the property of others. Building Tomorrow s TECHNOLOGIES Late 80 s The Early Motherboard

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

TSBCD025 High Voltage 0.25 mm BCDMOS

TSBCD025 High Voltage 0.25 mm BCDMOS TSBCD025 High Voltage 0.25 mm BCDMOS TSI Semiconductors' 0.25 mm process is a feature rich platform with best in class CMOS, LDMOS, and BiPolar devices. The BCD technology enables logic, Mixed-Signal,

More information

Design and Technology Trends

Design and Technology Trends Lecture 1 Design and Technology Trends R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Recently Designed Chips Itanium chip (Intel), 2B tx, 700mm 2, 8 layer 65nm CMOS (4 processors)

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

2009 International Solid-State Circuits Conference Intel Paper Highlights

2009 International Solid-State Circuits Conference Intel Paper Highlights 2009 International Solid-State Circuits Conference Intel Paper Highlights Mark Bohr Intel Senior Fellow Soumyanath Krishnamurthy Intel Fellow 1 2009 ISSCC Intel Paper Summary Under embargo until February,

More information

The Heart of A New Generation Update to Analysts. Anand Chandrasekher Senior Vice President, Intel General Manager, Ultra Mobility Group

The Heart of A New Generation Update to Analysts. Anand Chandrasekher Senior Vice President, Intel General Manager, Ultra Mobility Group The Heart of A New Generation Update to Analysts Anand Chandrasekher Senior Vice President, Intel General Manager, Ultra Mobility Group Today s s presentation contains forward-looking statements. All statements

More information

Accelerating Innovation

Accelerating Innovation Accelerating Innovation In the Era of Exponentials Dr. Chi-Foon Chan President and co-chief Executive Officer, Synopsys, Inc. August 27, 2013 ASQED 1 Accelerating Technology Innovation Exciting time to

More information

EE586 VLSI Design. Partha Pande School of EECS Washington State University

EE586 VLSI Design. Partha Pande School of EECS Washington State University EE586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 1 (Introduction) Why is designing digital ICs different today than it was before? Will it change in

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Lecture 2: Performance

Lecture 2: Performance Lecture 2: Performance Today s topics: Technology wrap-up Performance trends and equations Reminders: YouTube videos, canvas, and class webpage: http://www.cs.utah.edu/~rajeev/cs3810/ 1 Important Trends

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip

Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Texas Instruments TMX320TCI6488ZUNV Baseband Processor System on a Chip Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Business of NAND: Trends, Forecasts & Challenges

Business of NAND: Trends, Forecasts & Challenges Business of NAND: Trends, Forecasts & Challenges Rahul Advani, Microsemi & Mark Webb, MKW Ventures Key Messages Ramp of 3D NAND will be slower than most people think And uneven by vendor NAND roadmaps

More information

Non-contact Test at Advanced Process Nodes

Non-contact Test at Advanced Process Nodes Chris Sellathamby, J. Hintzke, B. Moore, S. Slupsky Scanimetrics Inc. Non-contact Test at Advanced Process Nodes June 8-11, 8 2008 San Diego, CA USA Overview Advanced CMOS nodes are a challenge for wafer

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND

iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND iphone 5 and iphone 7 (April 14 and 17, 2017) iphone 5 WiFi module iphone 7 battery application processors wafer level packaging 3D NAND 1 iphone 5 2 WiFi Front End in iphone 5 3 Broadcom BCM4334 inside

More information

Capturing value from an open ecosystem

Capturing value from an open ecosystem Capturing value from an open ecosystem Tom Rosamilia Senior Vice President IBM Systems Forward-Looking Statement Certain comments made during this event and in the presentation materials may be characterized

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

N E W S R E L E A S E

N E W S R E L E A S E Chartered Semiconductor Manufacturing Ltd. (Regn. No.: 198703584-K ) www.charteredsemi.com 880 N. McCarthy Blvd., Ste. 100 Milpitas, California 95035 Tel: (1) 408.941.1100 Fax: (1) 408.941.1101 60 Woodlands

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

Conference paper ESD Design Challenges in nano-cmos SoC Design

Conference paper ESD Design Challenges in nano-cmos SoC Design Conference paper ESD Design Challenges in nano-cmos SoC Design SoC conference 2008 The Silicon Controlled Rectifier ( SCR ) is widely used for ESD protection due to its superior performance and clamping

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing Technology & Manufacturing Laurent Bosson Executive Vice President Front End Technology & Manufacturing Manufacturing and Technology Strategy LEADING EDGE TECHNOLOGY + SHAREHOLDER VALUE TIME TO MARKET

More information

SOI based platforms for IoT optimized Applications. Director

SOI based platforms for IoT optimized Applications. Director SOI based platforms for IoT optimized Applications Carlos Mazure Executive Director Director Giorgio Cesana Executive Co- Agenda SOI Consortium in a nut shell IoT opportunities and challenges FD-SOI Solutions

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Advanced Devices, Packaging, and Materials Horizontal Research Center Aaron Oki NG Fellow Northrop Grumman Center Motivation Active and

More information

Data center day. Non-volatile memory. Rob Crooke. August 27, Senior Vice President, General Manager Non-Volatile Memory Solutions Group

Data center day. Non-volatile memory. Rob Crooke. August 27, Senior Vice President, General Manager Non-Volatile Memory Solutions Group Non-volatile memory Rob Crooke Senior Vice President, General Manager Non-Volatile Memory Solutions Group August 27, 2015 THE EXPLOSION OF DATA Requires Technology To Perform Random Data Access, Low Queue

More information

Circuits Multi Projets

Circuits Multi Projets Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS STMicroelectronics Standard Technology offers at CMP

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

Sean Maloney Executive Vice President

Sean Maloney Executive Vice President Sean Maloney Executive Vice President Faster Ramps With Each Generation Millions of Die 32 nm 45 nm 65 nm 90 nm >50% Reduction in time to ramp from 90nm to 32 nm And 22 nm will be faster! 1 5 10 15 20

More information

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis

Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis June 12, 2006 Matsushita MN2DS0015 System on a Chip for DVD Players 65 nm CMOS Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

Automated system partitioning based on hypergraphs for 3D stacked integrated circuits. FOSDEM 2018 Quentin Delhaye

Automated system partitioning based on hypergraphs for 3D stacked integrated circuits. FOSDEM 2018 Quentin Delhaye Automated system partitioning based on hypergraphs for 3D stacked integrated circuits FOSDEM 2018 Quentin Delhaye Integrated circuits: Let s go 3D Building an Integrated Circuit (IC) Transistors to build

More information

Silvermont. Introducing Next Generation Low Power Microarchitecture: Dadi Perlmutter

Silvermont. Introducing Next Generation Low Power Microarchitecture: Dadi Perlmutter Introducing Next Generation Low Power Microarchitecture: Silvermont Dadi Perlmutter Executive Vice President General Manager, Intel Architecture Group Chief Product Officer Risk Factors Today s presentations

More information

Bringing 3D Integration to Packaging Mainstream

Bringing 3D Integration to Packaging Mainstream Bringing 3D Integration to Packaging Mainstream Enabling a Microelectronic World MEPTEC Nov 2012 Choon Lee Technology HQ, Amkor Highlighted TSV in Packaging TSMC reveals plan for 3DIC design based on silicon

More information