AM57x Sitara Processors Technical Deep Dive

Size: px
Start display at page:

Download "AM57x Sitara Processors Technical Deep Dive"

Transcription

1 AM57x Sitara Processors Technical Deep Dive ARM Cortex-A15 Solutions for automation, HMI, vision, imaging, and other industrial and high-performance applications

2 Agenda AM57x Silicon Overview AM57x Processors AM57x Peripherals AM57x System Architecture AM57x EVMs & Tools

3 AM57x Silicon Overview AM57x Sitara Processors Technical Deep Dive

4 AM57x Product Family ARM Cortex-A15 (MHz) C66x DSP (MHz) ARM Cortex-M4 (MHz) Graphics Video Acceleration Display Subsystem PRU-ICSS AM GHz 1.5GHz 750 MHz 750 MHz 213 MHz 213 MHz 3D 3D 2D 1080p Yes PRU-ICSS* (Quad Core) Pin Compatible AM GHz 1.5GHz 750 MHz 750 MHz MHz 213 MHz AM GHz 3D 2D 1080p MHz MHz MHz AM GHz 750 MHz 213 MHz 213 MHz Yes PRU-ICSS* (Quad Core) PRU-ICSS* (Quad Core) PRU-ICSSU* (Quad Core) Software Compatible AM MHz 500 MHz 213 MHz 213 MHz PRU-ICSS* (Quad Core) PRU-ICSS can be used for industrial communication protocols such as Profibus, Profinet RT/IRT, EtherCAT, POWERLINK, Ethernet/IP, and more.** *PRU-ICSS is configured into two dual-core subsystems. **Support for premium protocols such as EtherCAT or POWERLINK requires ordering a specific part.

5 AM572x Cortex -A15-based Processors High-Speed Interconnect 28 nm ARM Cortex-A15 ARM Cortex-A15 32K/32K L1 32K/32K L1 2MB 1MB L2 w/ecc ARM M4 ARM M4 32KB L1 64KB RAM + - * C66x DSP + - * 32K/32K L1 288KB L2 512KB L3 Shared RAM w/ecc 32b DDR3/3L w/ ECC 32b DDR3/3L = = C66x DSP 32K/32K L1 288KB L2 Video Acceleration IVA HD 1080p Video, VPE Graphics Acceleration 3D GPU 2x SGX544 2x24b, 2x8b BB2D GC320 Display Subsystem 3 LCD HDMI 1.4a 1080p Blend/Scale/ Convert Video Input Ports 2x24b, 2x8b 2x16b PRU (Quad Core) Industrial Communication Subsystem (ICSS) EtherCAT,PROFINET, EtherNET/IP, PROFIBUS, POWERLINK, SERCOS 3 Security Acceleration 2 AES, 2 SHA2MD5, DES3DES, RNG System Services EDMA 13 Mailbox RTC SDMA Secure WDT WDT Spinlock 16 Timer KBD 2 DCAN QSPI 10 UART Serial IO 8 McASP 5 I2C 4 McSPI Industrial and Programmable IO 2 PCIe USB3/2 GPIO 3 PWM/CAP/QEP USB2 GbE 2-port switch w/1588 G/MII, RMII, RGMII SATA NAND/ NOR Storage IO 3 SD/SDIO 1 emmc/ SD/SDIO Pin muxing may limit peripheral availability. Bandwidth may limit simultaneous use of peripherals. Not available in AM5726, except VPE

6 AM571x Cortex -A15-based Processors High-Speed Interconnect 28 nm ARM Cortex-A15 32K/32K L1 1MB L2 w/ w/ecc ARM M4 ARM M4 32KB L1 64KB RAM 512KB L3 L3 Shared RAM w/ecc ECC 32b DDR3/3L w/ ECC + - * = C66x DSP 32K/32K L1 288KB L2 Video Acceleration IVA HD 1080p Video, VPE Graphics Acceleration 3D GPU SGX544 BB2D GC320 Display Subsystem 3 LCD HDMI 1.4a 1080p Blend/Scale/ Convert Camera Port 2 x CSI2 Video Input Ports 2x24b, 2x8b PRU (Quad Core) Industrial Communication Subsystem (ICSS) EtherCAT,PROFINET, EtherNET/IP, PROFIBUS, POWERLINK, SERCOS 3 Security Acceleration 2 AES, 2 SHA2MD5, DES3DES, RNG System Services EDMA 13 Mailbox RTC SDMA Secure WDT WDT Spinlock 16 Timer KBD 2 DCAN QSPI 10 UART Serial IO 8 McASP 5 I2C 4 McSPI Industrial and Programmable IO 2 PCIe 3 PWM/CAP/QEP USB3/2 GPIO USB2 GbE 2-port switch w/1588 G/MII, RMII, RGMII SATA NAND/ NOR Storage IO 3 SD/SDIO 1 emmc/ SD/SDIO Pin muxing may limit peripheral availability. Bandwidth may limit simultaneous use of peripherals. Not available in AM5716, except VPE

7 AM57x Package ABC Package: 23 x 23mm, 0.8 pitch, 760-pin BGA package

8 AM57x Processors AM57x Sitara Processors Technical Deep Dive

9 Processors and Memory: ARM Dual (AM572x) / Single (AM571x) ARM Cortex-A15 Up to 1.5 GHz, r2p2 revision core(s), ARMv7-A instructions set Out-of-order instruction dispatch and completion Backward-compatible with code for previous ARM processors Integrated NEON processing engine and VFPv4-compatible hardware Five execution units handle simple instructions, branch instructions, NEON and floating point instructions, multiply instructions, & load and store instructions AM572x has 2MB L2 memory (no ECC), while AM571x has 1MB L2 with ECC

10 Cortex-A15 (AM57x) vs Cortex-A9 (AM437x) Enhancements 128-bit (vs 64) data path 3-inst (vs 2) instruction decode 8-micro-ops (vs 4) issue 64-byte (vs 32) cache line Dual load/store (vs one or other) Improved branch prediction: Higher capacity Support for indirect branches More out-of-order instructions Physically-indexed/tagged L1 cache Tighter integration with NEON/VFP: Faster interworking with ARM code Dual-issue (vs single) Improved memory performance: Tightly-coupled L2 cache to reduce latency 23 to 14 clocks Enhanced auto-prefetch More requests buffering New Features Extended physical addressing Virtualization support: Virtual interrupt controller 2 nd stage MMU for Hypervisor control of guest OS memory CP15 trapping Debug/trace support: Integrated trace Virtualization support AMBA4 bus supports: System coherency MMU coherency Key Benefits Higher single-thread performance: 3.5 vs 2.5 DMIPS/MHz 1.4x higher instructions per cycle (IPC) from enhancements 1.4x faster floating point 10-15% higher clock in same process due to design x faster fully-pipelined cache maintenance support Improved system-level support to support new architecture needs: Larger memory, virtualization, system coherency Cortex-A15 offers substantial enhancements and new features to dramatically increase performance and system-level support.

11 Processors and Memory: M4, DSP, & L3 Dual (AM572x) / Single (AM571x) ARM Cortex-A15 Up to 1.5 GHz, r2p2 revision core(s), ARMv7-A instructions set Out-of-order instruction dispatch and completion Backward-compatible with code for previous ARM processors Integrated NEON processing engine and VFPv4 compatible hardware Five execution units handle simple instructions, branch instructions, NEON and floating point instructions, multiply instructions, & load and store instructions AM572x has 2MB L2 memory (no ECC), while AM571x has 1MB L2 with ECC Dual-ARM Cortex M4s Up to 213 MHz, ARMv7-M and Thumb -2 ISAs w/ ARMv6 SIMD & DSP extensions Dual (AM572x) / Single (AM571x) C66x DSPs Up to 750MHz, fixed- and floating-point ISA Object code compatible with C64x+ and C674x DSPs Advanced VLIW architecture w/ two multiplier units and six arithmetic logic units operating in parallel L3 Memory with ECC AM572x has 2.5MB of L3 memory with ECC AM571x has 512 KB of L3 memory with ECC AM5728 diagram shown

12 Processors and Memory: PRU-ICSS Programmable Real-Time Unit Industrial Communication Subsystem (PRU-ICSS) (2) Dual 32-bit RISC cores 12KB program RAM, 8 KB data RAM per CPU 32KB shared RAM Interrupt controller Fast IO interface Programming Tools PRU C-compiler for PRU firmware ARM Linux remoteproc + rpmsg driver PRU debugger in CCS Peripherals: One Ethernet MII_RT module with two MII ports * One MDIO port * One Industrial Ethernet peripheral * and Industrial Ethernet timer 1 x compatible UART 1 x ecap Capable of supporting master and/or slave modes of protocols such as: Profinet, Ethernet IP, Profibus, Ethercat, Powerlink, and Sercos 3 * Use of these ports is only supported via TI Processor SDK RTOS.

13 PRU-ICSS Feature Comparison AM18x/ Features OMAPL138 AM335x AM437x AM571x AM572x (SR1.1) PRUSS PRU-ICSS1 PRU-ICSS1 PRU-ICSS0 2 x PRU-ICSS 2 x PRU-ICSS PRU core version Number of PRU cores Max frequency CPU freq / MHz 200 MHz 200 MHz 200 MHz 200 MHz IRAM size (per PRU core) 4 KB 8 KB 12 KB 4 KB 12 KB 12 KB DRAM size (per PRU core) 512 B 8 KB 8 KB 4 KB 8 KB 8 KB Shared DRAM size KB 32 KB -- 32KB 32KB General purpose input (per PRU core) Direct Direct; or 16-bit parallel capture; or 28-bit shift Direct; or 16-bit parallel capture; or 28-bit shift; or 3ch EnDat 2.2; or 9ch Sigma Delta Direct; or 16-bit parallel capture; or 28-bit shift; or 3ch EnDat 2.2; or 9ch Sigma Delta Direct; or 16-bit parallel capture; or 28-bit shift; or 3ch EnDat 2.2; or 9ch Sigma Delta Direct; or 16-bit parallel capture; or 28-bit shift; General purpose output (per PRU core) Direct Direct; or Shift out Direct; or Shift out Direct; or Shift out Direct; or Shift out Direct; or Shift out GPI Pins (PRU0, PRU1) 30, 30 17, 17 13, 0 20, 20 21*, 21 21, 21 GPO Pins (PRU0, PRU1) 32, 32 16, 16 12, 0 20, 20 21*, 21 21, 21 MPY/MAC N Y Y Y Y Y Scratchpad N Y (3 banks) Y (3 banks) N Y (3 banks) Y (3 banks) CRC16/ INTC Peripherals n/a Y Y Y Y Y UART ecap not pinned out 1 1 IEP not pinned out 1 1 MII_RT not pinned out 2 2 MDIO not pinned out 1 1 Simultaneous protocols 1 1 2** 2 * PRU-ICSS2 only. PRU-ICSS1 does not pin out the PRU0 core GPIs/GPOs. ** 2 nd protocol limited to EnDAT/Profibus/BISS/HIperphase DSL or serial based protocol

14 AM57x Peripherals AM57x Sitara Processors Technical Deep Dive

15 Video and Graphics Video Acceleration IVA HD 1080p Video, VPE Graphics Acceleration 3D GPU 2x SGX544 BB2D GC320 AM5728 diagram shown. Not available in AM57x6, except VPE. Video Acceleration (same for AM572x and AM571x) IVA (image and video accelerator) HD-based video processing solution. Sometimes this is called HDVICP. Up to 1080p60 decode and 1080p30 encode support for MPEG4 and H.264 Single-channel encode support (1080p30, D1, and QVGA) Graphics Acceleration Dual (AM572x) / Single (AM571x) Imagination SGX544 3D graphics engine Up to 532 MHz API support for OpenGL ES1.1 & 2.0 Tile-based architecture reduces access to external memory Vivante Corporation GC320 2D graphics accelerator API support for OpenWF, DirectFB, and GDI/DirectDraw Also supports BitBlt, StretchBlt, blending, and transparency

16 Display Subsystem 2x24b, 2x8b Display Subsystem 3 LCD HDMI 1.4a 1080p Blend/Scale/ Convert Video Input Ports 2x24b, 2x8b 2x16b Display Subsystem Three LCD outs supporting MIPI DPI 2.0, BT-656, or BT-1120, each with dedicated overlay manager HDMI output supporting up to 1080p with a dedicated overlay manager One graphics, three video, and one write-back pipelines Maximum display resolution up to 1920x1200 NOTE: Simultaneous use of multiple displays will reduce maximum resolution subject to DDR bandwidth and graphics layers. AM5728 diagram shown. Not available in AM57x6.

17 Dual Camera Serial Interface 2 (CSI2) Display Subsystem 3 LCD HDMI 1.4a 1080p Blend/Scale/ Convert Camera Port 2 x CSI2 Video Input Ports 2x24b, 2x8b AM5718 diagram shown. Not available in AM57x6. Display Subsystem Three LCD outs supporting MIPI DPI 2.0, BT-656, or BT-1120, each with dedicated overlay manager HDMI output supporting up to 1080p with a dedicated overlay manager One graphics, three video, and one write-back pipelines Maximum display resolution up to 1920x1200 NOTE: Simultaneous use of multiple displays will reduce maximum resolution subject to DDR bandwidth and graphics layers Dual-Camera Serial Interface 2 (CSI2) (AM571x) Two ports compliant with MIPI CSI-2 1.0, MIPI D-PHY RX 1.0 Port A: Four data lanes Port B: Two data lanes

18 Video Input Ports (VIP) Display Subsystem 3 LCD HDMI 1.4a 1080p Blend/Scale/ Convert Video Input Ports (VIP) Each VIP supports 2-input independent stream parser slices VIP1 and VIP2 slice can handle two streams - Port-A: 8/16/24-bit options - Port-B: 8-bit only VIP3 slice can handle one stream - Port-A: 8/16-bit options NOTE: AM571x only supports VIP1 2x24b, 2x8b Video Input Ports 2x24b, 2x8b 2x16b AM5728 diagram shown. Not available in AM57x6.

19 AM57x Serial Peripherals DCAN (2) Support bit rates up to 1Mbit/s and are compliant to CAN2.0B protocol specification Multichannel Audio Serial Port Interface (McASP) (8) Two instances support 16 channels w/ independent TX/RX clock sync domains Six instances support 4 channels w/ shared TX/RX clock sync domains QSPI Master-only interface primarily intended for fast booting from quad-spi flash memories Supports single, dual or quad reads. Only single writes are supported. 2 DCAN Serial IO 8 McASP I2C (5) Slave or master configurable Two I2C ports support Fast mode (up to 400 Kbps) Three I2C ports support HS mode (up to 3.4 Mbps) Universal Asynchronous Receiver Transmitters (UART) (10) Baud rates up to Mbps One with extended modem control signals (DCD, RI, DTR, DSR) One with IrDA Multichannel Serial Port Interface (McSPI) (4) Function as master or slave Each supports up to four external device (four chip selects) or one external master QSPI 10 UART 5 I2C 4 McSPI

20 Industrial Programmable I/Os: PWMSS & GPIO Three PWMSS (Pulse-Width Modulation Subsystems) ehrpwm: High Resolution PWM 2x PWM outputs with single-/dual-edge symmetric/asymmetric operation Only ehrpwm[x]a supports the High-Resolution PWM feature 1x Trip Zone Input for reacting to external fault conditions ehrpwm1 has Time Base Synchronization I/Os (internally daisy-chained to other modules) Industrial and Programmable IO ecap: Capture 1x Capture Input with 4-event time-stamp registers Used for measuring audio sample rate, rotating machinery speed, position sensor pulses Can optionally be configured as a single channel PWM output eqep: Quadrature Encoded Pulse Used to measure the angular position or motion of a shaft or axle (for example, volume knob) 2x Quadrature Inputs, 1x Index Input, 1x Strobe Input GPIOs AM572x up to 247 GPIOs; AM571x up to 215 GPIOs Divided amongst 8x GPIO modules (muxed on most LVCMOS device pins) 2 PCIe USB3/2 GPIO 3 PWM/CAP/QEP USB2 GbE 2-port switch w/1588 G/MII, RMII, RGMII

21 Industrial Programmable I/Os: PCI Express (PCIe) PCI Express (PCIe) (2) Supports Gen I (2.5GT/s) and Gen II (5GT/s) modes AM57x has 2x PCIe lanes* supporting two configurations: 1) One Controller with 2 lanes 2) Two Controllers with 1 lane each * On AM571x, use of Lane 1 is mutually exclusive with USB SuperSpeed mode Supported features: Root Complex and Endpoint modes Single Function in Endpoint mode Optional traffic mapping through dedicated MMU2 Single Virtual Channel (VC) and Traffic Class (TC) Unsupported features: Power states L2 (w/beacon) and D3 cold Built-in hot plug Addressing modes other than incremental for bursts Outbound transactions involving less than 4 bytes Supports the following maximum payload sizes 128-byte outbound payload size (limited by EDMA) 256-byte inbound payload size USB SS * PCIE_SS1 PCIE_SS2 Control Module Lane 0 Lane 1

22 Industrial Programmable I/Os: USB USB (2) (USB 3.0/2.0 x1; USB 2.0 x1) Two xhci USB Controllers with different configurations: Standard Line Rate PHY USB Port 1 (USB1) USB 3.0 5Gbps Internal SS (USB3.0) PHY and Internal HS/FS (USB2.0) PHY USB Port 2 (USB2) USB Mbps Internal HS/FS PHY On AM571x, use of USB SuperSpeed mode is mutually exclusive with lane of second PCIe. All xhci Controllers support: Host or Peripheral mode (Dual-Role-Device (DRD)) DRVVBUS (Drive-VBUS) output signal to External Charge Pump for VBUS 5V generation No support for the following: Full OTG (Software-based Role Switching still possible) Attached Detection Protocol (ADP), ACA ID pin (if desired, must be implemented by an external GPIO)

23 Industrial Programmable I/Os: Ethernet Ethernet Internal Gb Ethernet Switch with 3 ports: 2 External Ethernet Ports 1 Internal Local Host Port External Interface support: RMII/MII (10/100Mbps) at 3.3V RGMII (10/100/1000*Mbps) at 1.8V/3.3V Single MDIO interface for PHY Control Clocking: MII/RMII: Supports both internal and external 50MHz reference clock RGMII: Internal clock reference only No support for: GMII interface 2.5V Signaling * AM572x errata Ethernet RGMII2 limited to 10/100 Mbps. Refer to device errata for impacted silicon revisions.

24 Storage I/Os: SATA SATA Single Port SATA host controller supporting 1.5-Gbps and 3-Gbps speeds (SATA-1 and SATA-2) Supports multiple drives with a port multiplier: command-based switching only (Issuing commands to only one drive at a time) Dedicated sata1_led pin for Activity LED generation Storage IO No support for the following: ATA legacy mode of operation Cold presence detection for hot-plug operation Message signaled interrupts Far-end Analog Loopback Port Multiplier FIS-based switching SATA NAND/NOR 3 SD/SDIO 1 emmc/ SD/SDIO

25 Storage I/Os: emcc/sd/sdio emmc / SD / SDIO (4) Four controllers with different configurations: Data Bus Primary Support Max Frequency* IO Buffer Type DMA MMC1 4-bit SD 192 MHz (via DLL) UHS1 Master & Slave MMC2 8-bit emmc 192 MHz (via DLL) LVCMOS Master & Slave MMC3 8-bit SDIO / SD 96 MHz LVCMOS Slave MMC4 4-bit SDIO / SD 48 MHz LVCMOS Slave Full compliance with standards: JC64 MMC/eMMC standard specification, v4.5 SD Physical Layer specification v3.01 SD part E1 specification v3.00 (SDIO) SD card specification Part A2 v3.00 No support for: * AM572x errata MMC1/2/3 write speed limited. Refer to device errata for impacted silicon revisions. MMC POW output pin: Must utilize chip level GPIO for this function, if desired.

26 Storage I/Os: GPMC & ELM General Purpose Memory Controller (GPMC) Used for accessing SRAM, NOR, NAND, etc. 8/16-bit data at up to 88MHz Non-muxed, Address-Data muxed, and Address-Address-Data muxed modes Async mode with read page access Sync mode with burst access and wrap capability 8 chip-selects covering 512MB of address space GPMC Error Locator Module (ELM) Used when interfacing GPMC to a NAND device Provides 4-, 8- or 16-bit error location over a 512-Byte block based on BCH algorithms Allows detected errors and their locations in the NAND block to be retrieved by the processor when the PAGE_VALID interrupt is generated

27 Storage I/Os: EMIF & DMM External Memory Interface (EMIF) Number EMIF Controllers ECC Addressable SDRAM size Chip Selects AM572x Dual EMIF1 only Up to 2GB per controller 1 per controller AM571x Single Yes Up to 4 GB * 2 16-/32-bit DDR3/ DDR3L support Speeds up to 533MHz (DDR-1066) Hardware-leveling support Class of Service and burst priority counter MPU MA has 128-bit direct path with optimized latency to each of EMIF0/1 * if using stacked die package Dynamic Memory Management (DMM) Performs global address translation and address rotation (tiling) between L3_MAIN Interconnect and EMIFs Performs access interleaving between EMIFs

28 System Peripherals Enhanced DMA (EDMA) Supports two simultaneous read and two simultaneous write physical channels Up to 64 programmable logical channels Mailbox (13) 13 for the MPU, DSP, IPU, and PRU; 1 for IVA Number of users, number of messages in the queue Real-Time Clock (RTC): RTC-only low power mode not supported System DMA (SDMA): Up to 128 hardware requests, 32 prioritizable logical channels, and bit FIFO dynamically allocable between active channels Watchdog Timer: Free-running 32-bit upward counter (runs off of 32kHz system clock) Spinlock: 256 hardware semaphores between the MPU, DSP, and IPU Timer (16): Free-running 32-bit upward counter. Runs off 32KHz or system clock Keyboard Controller (KBD): Supports up to 9x9 Keypads System Services EDMA 13 Mailbox RTC SDMA Secure WDT WDT Spinlock 16 Timer KBD

29 AM57x System Architecture AM57x Sitara Processors Technical Deep Dive

30 Pad Configuration Requirements In order to guarantee the IO Timings in the AM57x Data Manual over the lifetime of the device, AM57x software shall implement the proper pad configuration requirements. Pad configuration settings that impact IO timings include: Slew Control Settings Virtual IO Timing Modes Manual IO Timing Modes (default values must be used) Proper pad configuration procedure includes: IO Isolation: Required to guarantee IO state when changing IO settings IO Delay Recalibration: Required to guarantee timings after AVS changes The AM57x Data Manual lists the pad configuration requirements to achieve the various timing modes of operation. The TRM Pad Configuration Section provides the details on implementing the pad configuration requirements.

31 Virtual IO Timing Modes Virtual IO Timing Modes are pre-defined IO timing settings that are coded in the Device ROM. Selection of Virtual Modes is done via the Pad Configuration Registers. This is described in AM57x TRM section Virtual IO Timing Modes. Below is an example of how Virtual IO Timing Modes are defined in the AM57x Data Manual:

32 Manual IO Timing Modes Manual IO Timing Modes are IO timing settings that must be calculated and programmed by system software based on seed values in the datasheet. Application of Manual IO Timing Modes is done via the CFG_x_IN, CFG_x_OEN, and CFG_x_OUT registers in the IODELAYCONFIG Module. This is described in the AM57x TRM section Manual IO Timing Modes. Below is an example of how Manual IO Timing Modes seed values are defined in the AM57x Data Manual:

33 IO Isolation Mode Any changes to the Pad Configuration Registers or IODELAYCONFIG registers can potentially result in an undesirable state (i.e., output state changes or output enable changes) on the associated IOs. To guarantee IO state, device pins should be placed in Isolation Mode when making any changes to the Pad Configuration Registers or IODELAYCONFIG Module Registers. Run-time (non-isolated) changes are only supported for MMC. See TRM section Isolation Requirements for details.

34 Customer Software Implications All I/O timing modes and pinmuxing shall be set by software At boot-time While under protection of isolation While executing code from OCMCRAM (since DDR cannot be accessed while IOs are in isolation) The Secondary Boot Loaders (just after ROM bootloader) execute from OCMC RAM. The MLO in the Linux eco-system operates from the OCMC RAM. Therefore the MLO/ SBL is the ideal place for pad configurations. Run-time changes are needed for MMC where dynamic configurations can not be avoided. TI has validated this use case. IO Delay support is included in the AM57xx Pin Mux Tool (PMT). NOTE: In order to guarantee the IO timings in the AM57x Data Manual over the lifetime of the device, AM57x software shall implement the proper pad configuration requirements.

35 AM57x Boot Booting devices include: NOR flash memory or other XIP device NAND flash memories (non-xip) Removable SD card device emmc memory device 1-bit SPI flash memories (QSPI_1) 4-bit (Quad) SPI flash memories (QSPI_4) SATA-compatible devices Solid state drives (SSDs) Hard-disk drives (HDDs) USB: HS USB 2.0 interface UART: UART interface Initial boot order comes from sysboot pins.

36 Interrupt & Event Controller Crossbar Each core or DMA can only supports a static number of interrupt/event inputs. Each core interrupt controller and each DMA event handler is preceded by an Interrupt Controller or DMA Crossbar mux. Crossbar allows any peripheral interrupt/event to be mapped to a core or DMA. Mapping of peripheral interrupt/event selections is the same for each crossbar instance to allow software consistency. All peripherals Repeated for each IRQ of each CPU core (similar for events/dma)

37 AM57x EVMs & Tools AM57x Sitara Processors Technical Deep Dive

38 AM57x Development Tools AM572x Evaluation Module (EVM) BeagleBoard-X15 AM57x Industrial Development Kit (IDK) COMING SOON General Availability Oct 15 Late 4Q15 1Q16 Sold and Supported by TI BeagleBoard.org TI Processor Memory AM5728 2GB DDR3L 4GB emmc micro SD AM5728 2GB DDR3L 4GB emmc micro SD AM5728 AM571x 1Q16 1GB DDR3L 32MB QSPI / 16 GB emmc micro SD Display Yes, Capacitive Touch Size: 7, 800 x 480 None Yes, Capacitive Touch Size: 10, 1080p Key Features USB 3.0/2.0, e/msata, HDMI, 2x Gb Ethernet, Audio in/out, WiLink8 connector, Camera, PCIe & Peripheral Expansion USB 3.0/2.0, esata, HDMI, 2x Gb Ethernet, Audio in/out, & Peripheral Expansion Full ICSS access (x4), ADC, ECC DDR support, HDMI, Camera Software Linux, Android*, TI-RTOS** Linux, TI-RTOS** TI-RTOS, RT Linux*** *Supplied via third party: would not ship with kit **Available via download: target 4Q15 *** Available via download: target 1Q16

39 AM572x Evaluation Module (EVM) Processor board based on BeagleBoard-X15 Sitara AM5728 processor TPS power management 2GB DDR3L 4GB emmc Micro SD card 3x USB 3.0 HUB USB 2.0 (micro) Full size HDMI connector esata connector 2Gb Ethernet ports Audio input/output 20-pin ARM JTAG Included accessories Quick Start Guide HDMI cable USB-to-Serial debug cable Micro SD card with Processor SDK Power LED Camera board 3Mp sensor 7 LCD Display (WVGA - 800x480) Capacitive touch screen Bottom side of LCD board minipcie connector msata connector WiLink 8 connector User buttons Processor board Plugs in via expansion connectors in the back *Power supply not included

40 AM572x Power Solutions TPS is the Power Management IC (PMIC) that shall be used for the Device designs. TI requires use of this PMIC for the following reasons: TI has validated its use with the device. Board level margins including transient response and output accuracy are analyzed and optimized for the entire system. Support for power sequencing requirements (refer to Section 5.8 Power Supply Sequences in the AM57x datasheet) Support for Adaptive Voltage Scaling (AVS) Class 0 requirements, including TI provided software TPS Power Supply TPS configured for the AM572x EVM TPS configured for the AM572x IDK SMPS1/2 vdd_mpu vdd_mpu SMPS3 DDR Memory DDR Memory SMPS4/5 vdd_dspeve, vdd_gpu, vdd_iva vdd_dspeve SMPS6 vdd vdd_gpu SMPS7 SW configuration after boot vdd SMPS8 vdds18v vdd_iva SMPS9 SW configuration after boot 3.3V vddshvx

41 AM571x Power Solutions TPS65916 or TPS is the Power Management IC (PMIC) that shall be used for the Device designs. TPS Power Supply TPS configured for the AM571x IDK TPS65916 Power Supply Generic TPS65916 configuration for AM571x SMPS1/2 vdd_mpu SMPS1 vdd_mpu SMPS3 DDR Memory SMPS2 vdd SMPS4/5 vdd_dsp SMPS3 vdd_dsp, vdd_gpu, vdd_iva SMPS6 vdd_gpu SMPS4 vdds18v SMPS7 vdd SMPS5 DDR Memory SMPS8 vdd_iva SMPS9 3.3V

42 Thermal Considerations Thermal management ensures that every silicon device on the board works within its allowable operating junction temperature. Failure to maintain a junction temperature within the range specified reduces operating lifetime, reliability, and performance. The product design cycle should include thermal analysis to verify the operating junction temperature of the device is within functional limits. If the temperature is too high, componentor system-level thermal enhancements are required to dissipate the heat from the system.

43 AM572/1x Layout Compatibility AM572x & AM571x are designed as layout compatible solutions. AM572/1x Compatibility Guide application note walks through differences: Feature differences Hardware considerations Pin compatibility Software impact PMIC compatibility Example differences: Same multiplexings for all common features Features/signals removed or added in AM571x New added pin muxings in AM571x IVA/DSP must run at same OPP when AM571x populated (unless special population hooks) Some special powers swap, must treat them the same (same filtering/handling)

44 For More Information For information about AM57x: For more training related to Sitara and AM57x: For questions about this training, refer to the E2E Sitara Processors Forum:

Introduction to Sitara AM437x Processors

Introduction to Sitara AM437x Processors Introduction to Sitara AM437x Processors AM437x: Highly integrated, scalable platform with enhanced industrial communications and security AM4376 AM4378 Software Key Features AM4372 AM4377 High-performance

More information

AM57x, 66AK2Gx processors for Space/Avionics/Defense designs. Texas Instruments Catalog Processors June 2017

AM57x, 66AK2Gx processors for Space/Avionics/Defense designs. Texas Instruments Catalog Processors June 2017 AM57x, 66AK2Gx processors for Space/Avionics/Defense designs Texas Instruments Catalog Processors June 2017 1 TI s embedded portfolio overview Embedded Processing Wireless Microcontrollers Processors NFC/RFID

More information

Introducing the AM57x Sitara Processors from Texas Instruments

Introducing the AM57x Sitara Processors from Texas Instruments Introducing the AM57x Sitara Processors from Texas Instruments ARM Cortex-A15 solutions for automation, HMI, vision, analytics, and other industrial and high-performance applications. Embedded Processing

More information

MYD-C437X-PRU Development Board

MYD-C437X-PRU Development Board MYD-C437X-PRU Development Board MYC-C437X CPU Module as Controller Board Two 0.8mm pitch 100-pin Connectors for Board-to-Board Connections Up to 1GHz TI AM437x Series ARM Cortex-A9 Processors 512MB DDR3

More information

PRU Hardware Overview. Building Blocks for PRU Development: Module 1

PRU Hardware Overview. Building Blocks for PRU Development: Module 1 PRU Hardware Overview Building Blocks for PRU Development: Module 1 Agenda SoC Architecture PRU Submodules Example Applications 2 SoC Architecture Building Blocks for PRU Development: PRU Hardware Overview

More information

How to add Industrial Ethernet to Computer Numeric Control (CNC) Router Machine

How to add Industrial Ethernet to Computer Numeric Control (CNC) Router Machine How to add Industrial Ethernet to Computer Numeric Control (CNC) Router Machine 4-axis CNC Router Machine with 250KHz control loop through Industrial Ethernet Thomas Mauer Industrial Systems Team, Factory

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

MYC-C437X CPU Module

MYC-C437X CPU Module MYC-C437X CPU Module - Up to 1GHz TI AM437x Series ARM Cortex-A9 Processors - 512MB DDR3 SDRAM, 4GB emmc Flash, 32KB EEPROM - Gigabit Ethernet PHY - Power Management IC - Two 0.8mm pitch 100-pin Board-to-Board

More information

Contents. 1 Introduction Features Product Specifications Hardware Specification Software Specification...

Contents. 1 Introduction Features Product Specifications Hardware Specification Software Specification... SOM335x Specifications Contents 1 Introduction...2 2 Features... 3 3 Product Specifications...5 3.1 Hardware Specification... 6 3.2 Software Specification...8 3.3 Product Dimension... 10 4 Application

More information

Simplifying Multiprotocol Industrial Ethernet Communication. Sandeep Kumar December 2016

Simplifying Multiprotocol Industrial Ethernet Communication. Sandeep Kumar December 2016 Simplifying Multiprotocol Industrial Ethernet Communication Sandeep Kumar December 2016 1 Agenda Introduction to Industry 4.0 Need & Challenges with Deterministic Industrial Ethernet Changes in Industrial

More information

CL-SOM-AM57x. Reference Guide

CL-SOM-AM57x. Reference Guide CL-SOM-AM57x Reference Guide Legal 216 Compulab Ltd. All Rights Reserved. No part of this document may be photocopied, reproduced, stored in a retrieval system, or transmitted, in any form or by any means

More information

Freescale i.mx6 Architecture

Freescale i.mx6 Architecture Freescale i.mx6 Architecture Course Description Freescale i.mx6 architecture is a 3 days Freescale official course. The course goes into great depth and provides all necessary know-how to develop software

More information

Product Technical Brief S3C2416 May 2008

Product Technical Brief S3C2416 May 2008 Product Technical Brief S3C2416 May 2008 Overview SAMSUNG's S3C2416 is a 32/16-bit RISC cost-effective, low power, high performance micro-processor solution for general applications including the GPS Navigation

More information

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006

Product Technical Brief S3C2413 Rev 2.2, Apr. 2006 Product Technical Brief Rev 2.2, Apr. 2006 Overview SAMSUNG's is a Derivative product of S3C2410A. is designed to provide hand-held devices and general applications with cost-effective, low-power, and

More information

EtherCAT on Sitara Processors. Maneesh Soni Systems Manager Arm Microprocessor Group Texas Instruments

EtherCAT on Sitara Processors. Maneesh Soni Systems Manager Arm Microprocessor Group Texas Instruments EtherCAT on Sitara Processors Maneesh Soni Systems Manager Arm Microprocessor Group Texas Instruments EtherCAT is among the leading communications standards based on Ethernet that is used increasingly

More information

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003

Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 Product Technical Brief S3C2440X Series Rev 2.0, Oct. 2003 S3C2440X is a derivative product of Samsung s S3C24XXX family of microprocessors for mobile communication market. The S3C2440X s main enhancement

More information

Designing with NXP i.mx8m SoC

Designing with NXP i.mx8m SoC Designing with NXP i.mx8m SoC Course Description Designing with NXP i.mx8m SoC is a 3 days deep dive training to the latest NXP application processor family. The first part of the course starts by overviewing

More information

MYC-AM335X CPU Module MYC-AM3352 MYC-AM3359

MYC-AM335X CPU Module MYC-AM3352 MYC-AM3359 MYC-AM335X CPU Module - 720MHz TI AM335X Series ARM Cortex-A8 Processors - 512MB (2*256MB) DDR3 SDRAM, 512MB Nand Flash - On-board Gigabit Ethernet PHY - Two 2.0mm pitch 60-pin Male Expansion Connectors

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

SAM A5 ARM Cortex - A5 MPUs

SAM A5 ARM Cortex - A5 MPUs SAM A5 ARM Cortex - A5 MPUs Industry s lowest-power MPUs Ideal for secure industry, IoT, wearable applications Operating at 600MHz/945DMIPS with low power consumption, the SAMA5 ARM Cortex-A5 based MPU

More information

MYD-Y6ULX Development Board

MYD-Y6ULX Development Board MYD-Y6ULX Development Board MYC-Y6ULX CPU Module as Controller Board 528Hz NXP i.mx 6UL/6ULL ARM Cortex-A7 Processors 1.0mm pitch 140-pin Stamp Hole Expansion Interface for Board-to-Board Connections 256MB

More information

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006

Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Product Technical Brief S3C2412 Rev 2.2, Apr. 2006 Overview SAMSUNG's S3C2412 is a Derivative product of S3C2410A. S3C2412 is designed to provide hand-held devices and general applications with cost-effective,

More information

EtherNet/IP on TI s Sitara processors. Vineet Roy Software Systems Engineer Texas Instruments

EtherNet/IP on TI s Sitara processors. Vineet Roy Software Systems Engineer Texas Instruments EtherNet/IP on TI s Sitara processors Vineet Roy Software Systems Engineer Texas Instruments Common Industrial Protocol (CIP) Network Applications of CIP EtherNet/IP (EtherNet/Industrial Protocol) is an

More information

AT-501 Cortex-A5 System On Module Product Brief

AT-501 Cortex-A5 System On Module Product Brief AT-501 Cortex-A5 System On Module Product Brief 1. Scope The following document provides a brief description of the AT-501 System on Module (SOM) its features and ordering options. For more details please

More information

Embest SOC8200 Single Board Computer

Embest SOC8200 Single Board Computer Embest SOC8200 Single Board Computer TI's AM3517 ARM Cortex A8 Microprocessors 600MHz ARM Cortex-A8 Core NEON SIMD Coprocessor POWERVR SGX Graphics Accelerator (AM3517 only) 16KB I-Cache, 16KB D-Cache,

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools

Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools Speeding AM335x Programmable Realtime Unit (PRU) Application Development Through Improved Debug Tools The hardware modules and descriptions referred to in this document are *NOT SUPPORTED* by Texas Instruments

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

DevKit8500D Evaluation Kit

DevKit8500D Evaluation Kit DevKit8500D Evaluation Kit TI DM3730 Processor based on 800MHz ARM Cortex-A8 core Onboard 512MByte DDR SDRAM and 512MByte NAND Flash 3 UART, 4 USB Host, USB OTG, Ethernet, Audio, TF, Keyboard, Jtag,...

More information

MYC-AM335X CPU Module MYC-AM3352 MYC-AM3358

MYC-AM335X CPU Module MYC-AM3352 MYC-AM3358 MYC-AM335X CPU Module - Up to 1GHz TI AM335x Series ARM Cortex-A8 Processors - 512MB (2*256MB) DDR3 SDRAM, 512MB Nand Flash - On-board Gigabit Ethernet PHY - Two 2.0mm pitch 60-pin Male Expansion Connectors

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

SOM PRODUCTS BRIEF. S y s t e m o n M o d u l e. Engicam. SOMProducts ver

SOM PRODUCTS BRIEF. S y s t e m o n M o d u l e. Engicam. SOMProducts ver SOM S y s t e m o n M o d u l e PRODUCTS BRIEF GEA M6425IB ARM9 TM Low cost solution Reduced Time to Market Very small form factor Low cost multimedia solutions Industrial Automotive Consumer Single power

More information

RZ Embedded Microprocessors

RZ Embedded Microprocessors Next Generation HMI Solutions RZ Embedded Microprocessors www.renesas.eu 2013.11 The RZ Family Embedded Microprocessors The RZ is a new family of embedded microprocessors that retains the ease-of-use of

More information

OK335x Products Guide. Contents

OK335x Products Guide. Contents Contents Contents... 2 Version history... 3 Chapter One General Introduction... 4 1.1 Products Overview... 4 1.2 Application Fields... 5 Chapter Two OK335xD Single Board Computer... 6 2.1 Product Introduction...

More information

RK3036 Kylin Board Hardware Manual V0.1

RK3036 Kylin Board Hardware Manual V0.1 RK3036 Kylin Board Hardware Manual V0.1 Content 1 Introduction 1.1 Kylin at first glance 1.2 Boot to console 1.3 Key features 1.4 Block diagram 2 Key parts in details 2.1 Processor 2.2 Memory 2.3 Storage

More information

Hi3536 H.265 Decoder Processor. Brief Data Sheet. Issue 03. Date

Hi3536 H.265 Decoder Processor. Brief Data Sheet. Issue 03. Date Hi3536 H.265 Decoder Processor Brief Data Sheet Issue 03 Date 2015-04-19 . 2014. All rights reserved. No part of this document may be reproduced or transmitted in any form or by any means without prior

More information

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions.

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions. Cobalt MC Gumstix, Inc. shall have no liability of any kind, express or implied, arising out of the use of the Information in this document, including direct, indirect, special or consequential damages.

More information

SOM IB8000 Quad Core SOM (System-On-Module) Rev 1.3

SOM IB8000 Quad Core SOM (System-On-Module) Rev 1.3 Intel Braswell SOM IB8000 Quad Core SOM (System-On-Module) Rev 1.3 Simple. Robust. Computing Solutions SolidRun Ltd. 7 Hamada st., Yokne am Illit, 2495900, Israel www.solid-run.com 1 Page Document revision

More information

PDK (Platform Development Kit) Getting Started. Automotive Processors

PDK (Platform Development Kit) Getting Started. Automotive Processors PDK (Platform Development Kit) Getting Started Automotive Processors 1 Agenda PDK Overview PDK Software Architecture PDK Directory structure PDK Pre-requisite and Build instructions Running Examples Important

More information

DaVinci. DaVinci Processor CPU MHz

DaVinci. DaVinci Processor CPU MHz DaVinci DaVinci Processor CPU MHz Capture/ Display DM355** ARM926 216, 270 Capture/Display DM6467 + C64x+ TM /ARM926 600/300 Capture/Display DM648* C64x+ 720, 900 Capture/Display DM647* C64x+ 720, 900

More information

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions.

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions. Poblano 43C TM Gumstix, Inc. shall have no liability of any kind, express or implied, arising out of the use of the Information in this document, including direct, indirect, special or consequential damages.

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

MYD-C7Z010/20 Development Board

MYD-C7Z010/20 Development Board MYD-C7Z010/20 Development Board MYC-C7Z010/20 CPU Module as Controller Board Two 0.8mm pitch 140-pin Connectors for Board-to-Board Connections 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor

More information

Designing with STM32F2x & STM32F4

Designing with STM32F2x & STM32F4 Designing with STM32F2x & STM32F4 Course Description Designing with STM32F2x & STM32F4 is a 3 days ST official course. The course provides all necessary theoretical and practical know-how for start developing

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

KeyStone C665x Multicore SoC

KeyStone C665x Multicore SoC KeyStone Multicore SoC Architecture KeyStone C6655/57: Device Features C66x C6655: One C66x DSP Core at 1.0 or 1.25 GHz C6657: Two C66x DSP Cores at 0.85, 1.0, or 1.25 GHz Fixed and Floating Point Operations

More information

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla.

HotChips An innovative HD video and digital image processor for low-cost digital entertainment products. Deepu Talla. HotChips 2007 An innovative HD video and digital image processor for low-cost digital entertainment products Deepu Talla Texas Instruments 1 Salient features of the SoC HD video encode and decode using

More information

SOM i1 Single Core SOM (System-On-Module) Rev 1.5

SOM i1 Single Core SOM (System-On-Module) Rev 1.5 NXP-Freescale i.mx6 SOM i1 Single Core SOM (System-On-Module) Rev 1.5 Simple. Robust. Computing Solutions SolidRun Ltd. 7 Hamada st., Yokne am Illit, 2495900, Israel www.solid-run.com 1 Page Document revision

More information

SBC8140 Single Board Computer

SBC8140 Single Board Computer SBC8140 Single Board Computer TI DM3730 Processor based on 1GHz ARM Cortex-A8 core Flexible Design with a Tiny CPU Board mounted on Expansion Board Memory supporting 256MByte DDR SDRAM and 512MByte NAND

More information

TOUGH. FAST. WHITE. introducing WHITEspeed. The groundbreaking Computer On Module. Designed & assembled by ERNI in Germany.

TOUGH. FAST. WHITE. introducing WHITEspeed. The groundbreaking Computer On Module. Designed & assembled by ERNI in Germany. TOUGH. FAST. WHITE. introducing WHITEspeed The groundbreaking Computer On Module. Designed & assembled by ERNI in Germany. introducing WHITEspeed TOUGH. FAST. WHITE. Computer On Module with Basic Board

More information

. SMARC 2.0 Compliant

. SMARC 2.0 Compliant MSC SM2S-IMX8 NXP i.mx8 ARM Cortex -A72/A53 Description The new MSC SM2S-IMX8 module offers a quantum leap in terms of computing and graphics performance. It integrates the currently most powerful i.mx8

More information

AM57x Sitara Processors Multimedia and Graphics

AM57x Sitara Processors Multimedia and Graphics AM57x Sitara Processors Multimedia and Graphics Agenda Introduction to GStreamer Framework for Multimedia Applications AM57x Multimedia and Graphics Functions Hardware Architecture Software Capabilities

More information

COM-RZN1D - Hardware Manual

COM-RZN1D - Hardware Manual COM-RZN1D - Hardware Manual Hardware Manual 4 / 01.10.2018 emtrion GmbH Copyright 2018 emtrion GmbH All rights reserved. This documentation may not be photocopied or recorded on any electronic media without

More information

Hugo Cunha. Senior Firmware Developer Globaltronics

Hugo Cunha. Senior Firmware Developer Globaltronics Hugo Cunha Senior Firmware Developer Globaltronics NB-IoT Product Acceleration Platforms 2018 Speaker Hugo Cunha Project Developper Agenda About us NB IoT Platforms The WIIPIIDO The Gateway FE 1 About

More information

NXP-Freescale i.mx6. Dual Core SOM (System-On-Module) Rev 1.5

NXP-Freescale i.mx6. Dual Core SOM (System-On-Module) Rev 1.5 NXP-Freescale i.mx6 SOM i2ex Dual Core SOM (System-On-Module) Rev 1.5 Simple. Robust. Computing Solutions SolidRun Ltd. 7 Hamada st., Yokne am Illit, 2495900, Israel www.solid-run.com 1 Page Document revision

More information

EyeCheck Smart Cameras

EyeCheck Smart Cameras EyeCheck Smart Cameras 2 3 EyeCheck 9xx & 1xxx series Technical data Memory: DDR RAM 128 MB FLASH 128 MB Interfaces: Ethernet (LAN) RS422, RS232 (not EC900, EC910, EC1000, EC1010) EtherNet / IP PROFINET

More information

STM32F7 series ARM Cortex -M7 powered Releasing your creativity

STM32F7 series ARM Cortex -M7 powered Releasing your creativity STM32F7 series ARM Cortex -M7 powered Releasing your creativity STM32 high performance Very high performance 32-bit MCU with DSP and FPU The STM32F7 with its ARM Cortex -M7 core is the smartest MCU and

More information

Hercules ARM Cortex -R4 System Architecture. Processor Overview

Hercules ARM Cortex -R4 System Architecture. Processor Overview Hercules ARM Cortex -R4 System Architecture Processor Overview What is Hercules? TI s 32-bit ARM Cortex -R4/R5 MCU family for Industrial, Automotive, and Transportation Safety Hardware Safety Features

More information

PDK (Platform Development Kit) Getting Started. Automotive Processors 4 th Dec 2017

PDK (Platform Development Kit) Getting Started. Automotive Processors 4 th Dec 2017 PDK (Platform Development Kit) Getting Started Automotive Processors 4 th Dec 2017 1 Agenda PDK Overview PDK Software Architecture PDK Directory structure PDK Pre-requisite and Build instructions Running

More information

STM32F429 Overview. Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015

STM32F429 Overview. Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015 STM32F429 Overview Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015 Today - STM32 portfolio positioning 2 More than 30 product lines High-performance 398 CoreMark 120 MHz 150 DMIPS

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

ECE 471 Embedded Systems Lecture 3

ECE 471 Embedded Systems Lecture 3 ECE 471 Embedded Systems Lecture 3 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 10 September 2018 Announcements New classroom: Stevens 365 HW#1 was posted, due Friday Reminder:

More information

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change

XMC-RFSOC-A. XMC Module Xilinx Zynq UltraScale+ RFSOC. Overview. Key Features. Typical Applications. Advanced Information Subject To Change Advanced Information Subject To Change XMC-RFSOC-A XMC Module Xilinx Zynq UltraScale+ RFSOC Overview PanaTeQ s XMC-RFSOC-A is a XMC module based on the Zynq UltraScale+ RFSoC device from Xilinx. The Zynq

More information

XMC-ZU1. XMC Module Xilinx Zynq UltraScale+ MPSoC. Overview. Key Features. Typical Applications

XMC-ZU1. XMC Module Xilinx Zynq UltraScale+ MPSoC. Overview. Key Features. Typical Applications XMC-ZU1 XMC Module Xilinx Zynq UltraScale+ MPSoC Overview PanaTeQ s XMC-ZU1 is a XMC module based on the Zynq UltraScale+ MultiProcessor SoC device from Xilinx. The Zynq UltraScale+ integrates a Quad-core

More information

FPQ6 - MPC8313E implementation

FPQ6 - MPC8313E implementation Formation MPC8313E implementation: This course covers PowerQUICC II Pro MPC8313 - Processeurs PowerPC: NXP Power CPUs FPQ6 - MPC8313E implementation This course covers PowerQUICC II Pro MPC8313 Objectives

More information

CM10 Rugged COM Express with TI Sitara ARM Cortex-A15

CM10 Rugged COM Express with TI Sitara ARM Cortex-A15 CM10 Rugged COM Express with TI Sitara ARM Cortex-A15 Computer-On-Module www.men.de/products/cm10/» TI Sitara ARM Cortex-A15 AM57xx» Single or dual core processor» Built-in quad core PRU and DSP core»

More information

RZ/G1 SeRieS embedded microprocessors

RZ/G1 SeRieS embedded microprocessors RZ/G1 SeRieS embedded microprocessors High-End HMI, Video, Embedded Vision and more 2017.01 RZ/G1 SERIES MULTI-CORE MPUS WITH high-end GRaphicS and multi-stream ViDeo RZ/G1 Series microprocessors (MPUs)

More information

Alekto 2. Contact Online. More Pictures. Klick on the thumbnails for the large picture

Alekto 2. Contact Online. More Pictures. Klick on the thumbnails for the large picture VS Vision Systems GmbH / Part Number 6820 Features Ti Sitara AM3354 @ 720MHz, 256MB DDR2 720p Video 1 x microsd, 1 x CFAST 2 x LAN 1 x USB 2.0 OTG 2 x USB 2.0 Host 2 x RS232/422/485 1 x CAN Bus 8 x Digital-I/O

More information

. Micro SD Card Socket. SMARC 2.0 Compliant

. Micro SD Card Socket. SMARC 2.0 Compliant MSC SM2S-IMX6 NXP i.mx6 ARM Cortex -A9 Description The design of the MSC SM2S-IMX6 module is based on NXP s i.mx 6 processors offering quad-, dual- and single-core ARM Cortex -A9 compute performance at

More information

NXP-Freescale i.mx6 MicroSoM i4pro. Quad Core SoM (System-On-Module) Rev 1.3

NXP-Freescale i.mx6 MicroSoM i4pro. Quad Core SoM (System-On-Module) Rev 1.3 NXP-Freescale i.mx6 MicroSoM i4pro Quad Core SoM (System-On-Module) Rev 1.3 Simple. Robust. Computing Solutions SolidRun Ltd. 3 Dolev st., 3rd floor, P.O. Box 75 Migdal Tefen 2495900, Israel. www.solid-run.com

More information

Hi3520D V300 H.264 CODEC Processor. Brief Data Sheet. Issue 04. Date

Hi3520D V300 H.264 CODEC Processor. Brief Data Sheet. Issue 04. Date H.264 CODEC Processor Brief Data Sheet Issue 04 Date 2016-04-18 . 2015-2016. All rights reserved. No part of this document may be reproduced or transmitted in any form or by any means without prior written

More information

Closed-loop Delfino Control Systems: Multiple Industrial Protocol Support using the AMIC110 Sitara Processor

Closed-loop Delfino Control Systems: Multiple Industrial Protocol Support using the AMIC110 Sitara Processor Closed-loop Delfino Control Systems: Multiple Industrial Protocol Support using the AMIC110 Sitara Processor Part 2: Implementation of multiprotocol industrial communications solutions Training series

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://www.eece.maine.edu/~vweaver vincent.weaver@maine.edu 3 September 2015 Announcements HW#1 will be posted today, due next Thursday. I will send out

More information

NS9210/NS9215. Overview. Block Diagram. NS µ CMOS, 265-pin BGA. Features/Benefits. Platforms and Services.

NS9210/NS9215. Overview. Block Diagram. NS µ CMOS, 265-pin BGA. Features/Benefits. Platforms and Services. NS9210/NS9215 32-bit NET+ARM Processor Family Cost-efficient, small footprint ARM926EJ-S processor with integrated encryption and unique interface flexibility. Overview The NS9210/NS9215 processor family

More information

DSP Solutions For High Quality Video Systems. Todd Hiers Texas Instruments

DSP Solutions For High Quality Video Systems. Todd Hiers Texas Instruments DSP Solutions For High Quality Video Systems Todd Hiers Texas Instruments TI Video Expertise Enables Faster And Easier Product Innovation TI has a long history covering the video market from end to end

More information

Digital Control of Electric Drives

Digital Control of Electric Drives Digital Control of Electric Drives Digital Signal Processor Czech Technical University in Prague Faculty of Electrical Engineering Ver.1.01 J. Zdenek 2017 Von Neumann Architecture Type (Not in DSP/DSC)

More information

TDA3xx Secondary Bootloader (SBL)

TDA3xx Secondary Bootloader (SBL) TDA3xx SBL Application Report Draft v0.1 January 2016 TDA3xx Secondary Bootloader (SBL) Rishabh Garg, Sivaraj R ADAS Software, Processor BU ABSTRACT Secondary Bootloader (SBL) is needed in order to initialize

More information

STM32F7 series ARM Cortex -M7 powered Releasing your creativity

STM32F7 series ARM Cortex -M7 powered Releasing your creativity STM32F7 series ARM Cortex -M7 powered Releasing your creativity STM32 high performance Very high performance 32-bit MCU with DSP and FPU The STM32F7 with its ARM Cortex -M7 core is the smartest MCU and

More information

CL-SOM-AM57x. Reference Guide

CL-SOM-AM57x. Reference Guide CL-SOM-AM57x Reference Guide Legal 2015 CompuLab Ltd. All Rights Reserved. No part of this document may be photocopied, reproduced, stored in a retrieval system, or transmitted, in any form or by any means

More information

Version History. V1.0 Original Version 1 / 13

Version History. V1.0 Original Version 1 / 13 SOM3288 Specifications Version History Version Description V1.0 Original Version 1 / 13 Contents Product Introduction...3 1 Introduction...3 2 Features... 4 3 Application Areas... 4 Product Specification...

More information

MYD-SAMA5D3X Development Board

MYD-SAMA5D3X Development Board MYD-SAMA5D3X Development Board MYC-SAMA5D3X CPU Module as Controller Board DDR2 SO-DIMM 200-pin Signals Consistent with Atmel's Official Board 536MHz Atmel SAMA5D3 Series ARM Cortex-A5 Processors 512MB

More information

Compact form factor. High speed MXM edge connector. Processor. Max Cores 4. Max Thread 4. Memory. Graphics. Video Interfaces.

Compact form factor. High speed MXM edge connector. Processor. Max Cores 4. Max Thread 4. Memory. Graphics. Video Interfaces. QSEVEN STANDARD ADVANTAGES Rel. 2.1 with the Intel Atom X Series, Intel Celeron J / N Series and Intel Pentium N Series (formerly Apollo Lake) s High graphics performance and extreme temperature for low

More information

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7

ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 ARM Cortex core microcontrollers 3. Cortex-M0, M4, M7 Scherer Balázs Budapest University of Technology and Economics Department of Measurement and Information Systems BME-MIT 2018 Trends of 32-bit microcontrollers

More information

Design Choices for FPGA-based SoCs When Adding a SATA Storage }

Design Choices for FPGA-based SoCs When Adding a SATA Storage } U4 U7 U7 Q D U5 Q D Design Choices for FPGA-based SoCs When Adding a SATA Storage } Lorenz Kolb & Endric Schubert, Missing Link Electronics Rudolf Usselmann, ASICS World Services Motivation for SATA Storage

More information

PV8900-CORE Full Function TCC8900/TCC8901/TCC8902 CPU Module Specification

PV8900-CORE Full Function TCC8900/TCC8901/TCC8902 CPU Module Specification PV8900-CORE Full Function TCC8900/TCC8901/TCC8902 CPU Module Specification 1. Overview: PV8900-CORE CPU Module is designed by Shanghai Povell Electronic Technologies Co., Ltd. in 2010, this CPU module

More information

Total IP Solution for Mobile Storage UFS & NAND Controllers

Total IP Solution for Mobile Storage UFS & NAND Controllers Total IP Solution for Mobile Storage UFS & NAND Controllers Yuping Chung Arasan Chip Systems San Jose, CA Mobile Forum Taiwan & Korea 2012 Fast Growing NAND Storage Markets GB(M) 15 10 5 Mobile SSD Tablet

More information

Product overview. Technology in Quality. ColdFire Module ARM Moduls System Integration Kit s Complete Systems

Product overview. Technology in Quality. ColdFire Module ARM Moduls System Integration Kit s Complete Systems Technology in Quality Product overview ColdFire Module ARM Moduls System Integration Kit s Complete Systems TQC Produktübersicht / KNZ / 23.03.2011 / Folie 1 Module overview TQM5329 TQMa28 TQMa35 TQM5200S

More information

Video Interface Module for TI EVM TMDXEVM8148 and TMDXEVM368

Video Interface Module for TI EVM TMDXEVM8148 and TMDXEVM368 CH-Merge with LVDS HD-SDI for TI EVM TMDXEVM8148 and TMDXEVM368 VIM-HDSDI TMDXEVM8148 cable length over 100m Multi channel HD-SDI DDR3 TMS320DM8148(1GHz Cortex A8, 750MHz C674x ) Dual 32bit DDR3 of 1GB,

More information

MYD-JA5D2X Development Board

MYD-JA5D2X Development Board MYD-JA5D2X Development Board MYC-JA5D2X CPU Module as Controller Board 500MHz Atmel SAMA5D26/27 ARM Cortex-A5 Processor 256MB DDR3 SDRAM, 256MB Nand Flash, 4MB Data FLASH, 64KB EEPROM Serial ports, USB,

More information

Cannon Mountain Dr Longmont, CO LS6410 Hardware Design Perspective

Cannon Mountain Dr Longmont, CO LS6410 Hardware Design Perspective LS6410 Hardware Design Perspective 1. S3C6410 Introduction The S3C6410X is a 16/32-bit RISC microprocessor, which is designed to provide a cost-effective, lowpower capabilities, high performance Application

More information

NXP-Freescale i.mx6 MicroSoM i2. Dual Core SoM (System-On-Module) Rev 1.3

NXP-Freescale i.mx6 MicroSoM i2. Dual Core SoM (System-On-Module) Rev 1.3 NXP-Freescale i.mx6 MicroSoM i2 Dual Core SoM (System-On-Module) Rev 1.3 Simple. Robust. Computing Solutions SolidRun Ltd. 3 Dolev st., 3rd floor, P.O. Box 75 Migdal Tefen 2495900, Israel. www.solid-run.com

More information

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description

PRODUCT PREVIEW TNETV1050 IP PHONE PROCESSOR. description C55x DSP Operating at 125 MHz, Providing up to 250 MIPS MIPS32 4KEc 32-Bit RISC Processor, Operating at 165 MHz, Providing up to 223 Dhrystone MIPS On-Chip Peripherals Include: External Memory Interface

More information

cxt200 1 Datasheet, Revision 1.0

cxt200 1 Datasheet, Revision 1.0 cxt200 SoC OVERVIEW The Creator cxt200 is a system-on-chip device targeted for use in wireless connected products. It includes: 550 MHz dual core, dual thread MIPS interaptiv CPU Base band functions required

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://www.eece.maine.edu/ vweaver vincent.weaver@maine.edu 4 September 2014 Announcements HW#1 will be posted tomorrow (Friday), due next Thursday Working

More information

Your Strategic Partner for Renesas RZ/G1x Products & Solutions

Your Strategic Partner for Renesas RZ/G1x Products & Solutions Manufacture Your Strategic Partner for Renesas RZ/G1x Products & Solutions Design Concept ELECTRONICS UNITRONIC GmbH Mündelheimer Weg 9 40472 Düsseldorf Telefon 0211 / 95 110 Telefax 0211 / 95 11 111 info@unitronic.de

More information

PAULA CARRILLO October Processor SDK & PRU-ICSS Industrial software

PAULA CARRILLO October Processor SDK & PRU-ICSS Industrial software PAULA CARRILLO October 2017 Processor SDK & PRU-ICSS Industrial software AGENDA 01 02 PRU-ICSS INDUSTRIAL SOFTWARE PROTOCOLS PAULA CARRILLO October 2017 CHAPTER AGENDA PSDK Descriptions Download webpage

More information

KeyStone II. CorePac Overview

KeyStone II. CorePac Overview KeyStone II ARM Cortex A15 CorePac Overview ARM A15 CorePac in KeyStone II Standard ARM Cortex A15 MPCore processor Cortex A15 MPCore version r2p2 Quad core, dual core, and single core variants 4096kB

More information

FCQ2 - P2020 QorIQ implementation

FCQ2 - P2020 QorIQ implementation Formation P2020 QorIQ implementation: This course covers NXP QorIQ P2010 and P2020 - Processeurs PowerPC: NXP Power CPUs FCQ2 - P2020 QorIQ implementation This course covers NXP QorIQ P2010 and P2020 Objectives

More information

Kontron s ARM-based COM solutions and software services

Kontron s ARM-based COM solutions and software services Kontron s ARM-based COM solutions and software services Peter Müller Product Line Manager COMs Kontron Munich, 4 th July 2012 Kontron s ARM Strategy Why ARM COMs? How? new markets for mobile applications

More information